Part Number Hot Search : 
ACE306A LTC32 DCX114TH 2645TT GRM18 2SC4705 5111A CPT20125
Product Description
Full Text Search
 

To Download MCIMX6D4AVT10AC Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  freescale semiconductor data sheet: technical data document number: imx6dqaxec rev. 2, 04/2013 package information case fcpbga 21 x 21 mm, 0.8 mm pitch ordering information see table 1 on page 3 ? 2012-2013 freescale semiconductor, inc. all rights reserved. mcimx6qxaxxxxc mcimx6dxaxxxxc 1 introduction the i.mx 6dual and i.mx 6quad automotive and infotainment processors represent freescale semiconductor?s latest achievement in integrated multimedia applications pr ocessors. these processors are part of a growing famil y of multimedia-focused products that offer high-performance processing with a high degree of functional inte gration. these processors target the needs of the growing automotive infotainment, telematics, hmi, and disp lay-based cluster markets. the i.mx 6dual/6quad proce ssors feature freescale?s advanced implementation of the quad arm cortex?-a9 core, which operates at speeds up to 1 ghz. they include 2d and 3d graphics processors, 3d 1080p video processing, and integrated power management. each processor provides a 64-bit ddr3/lvddr3/lpddr2-1066 memory interface and a number of other interfaces for connecting peripherals, such as wlan, bluetooth?, g ps, hard drive, displays, and camera sensors. i.mx 6dual/6quad automotive and infotainment applications processors 1 introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.1 ordering information . . . . . . . . . . . . . . . . . . . . . . . . 3 1.2 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 1.3 updated signal naming convention . . . . . . . . . . . . 7 2 architectural overview . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 2.1 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3 modules list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 3.1 special signal considerations. . . . . . . . . . . . . . . . 17 3.2 recommended connections for unused analog interfaces. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 4 electrical characteristics. . . . . . . . . . . . . . . . . . . . . . . . . 18 4.1 chip-level conditions . . . . . . . . . . . . . . . . . . . . . . 18 4.2 power supplies requirements and restrictions . . 30 4.3 integrated ldo voltage regulator parameters . . . 31 4.4 pll electrical characteristics . . . . . . . . . . . . . . . . 33 4.5 on-chip oscillators . . . . . . . . . . . . . . . . . . . . . . . . 35 4.6 i/o dc parameters . . . . . . . . . . . . . . . . . . . . . . . . 36 4.7 i/o ac parameters . . . . . . . . . . . . . . . . . . . . . . . . 40 4.8 output buffer impedance parameters . . . . . . . . . . 45 4.9 system modules timing . . . . . . . . . . . . . . . . . . . . 49 4.10 general-purpose media interface (gpmi) timing. 65 4.11 external peripheral interface parameters . . . . . . . 74 5 boot mode configuration . . . . . . . . . . . . . . . . . . . . . . . 139 5.1 boot mode configuration pins. . . . . . . . . . . . . . . 139 5.2 boot devices interfaces allocation . . . . . . . . . . . 140 6 package information and contact assignments . . . . . . 142 6.1 updated signal naming convention . . . . . . . . . . 142 6.2 21 x 21 mm package information . . . . . . . . . . . . 142 7 revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 2 freescale semiconductor introduction the i.mx 6dual/6quad processors are specifically useful for appl ications such as the following: ? automotive navigation and entertainment ? graphics rendering for human machine interfaces (hmi) ? high-performance speech proc essing with large databases ? audio playback ? video processing and display the i.mx 6dual/6quad processors have so me very exciting features, for example: ? multilevel memory system?the multilevel memory system of each proce ssor is based on the l1 instruction and data caches, l2 cache, and intern al and external memory. the processors support many types of external memory devices, in cluding ddr3, low voltage ddr3, lpddr2, nor flash, psram, cellular ram, nand flash (mlc and sl c), onenand?, and managed nand, including emmc up to rev 4.4/4.41. ? smart speed technology?the processors have power management thr oughout the device that enables the rich suite of multime dia features and peripherals to consume minimum power in both active and various low power m odes. smart speed technology enab les the designer to deliver a feature-rich product, requiring levels of pow er far lower than industry expectations. ? dynamic voltage and frequency sca ling?the processors im prove the power effi ciency of devices by scaling the voltage and fre quency to optimize performance. ? multimedia powerhouse?the multim edia performance of each processor is enhanced by a multilevel cache system, neon mpe (media proc essor engine) co-processor, a multi-standard hardware video codec, 2 autonomous and inde pendent image processing units (ipu), and a programmable smart dma (sdma) controller. ? powerful graphics acceleration?ea ch processor provides three in dependent, integrated graphics processing units: an opengl ? es 2.0 3d graphics accelerator wi th four shaders (up to 200 mt/s and opencl support), 2d graphics acceler ator, and dedicated openvg? 1.1 accelerator. ? interface flexibility?each processor supports connections to a variet y of interfaces: lcd controller for up to four displa ys (including parallel display, hdmi1.4, mipi display, and lvds display), dual cmos sensor in terface (parallel or through mipi ), high-speed usb on-the-go with phy, high-speed usb host with ph y, multiple expansion card por ts (high-speed mmc/sdio host and other), 10/100/1000 mbps gigabi t ethernet controller, and a va riety of other popular interfaces (such as uart, i 2 c, and i 2 s serial audio, sata-ii, and pcie-ii). ? automotive environment support?e ach processor includes interfaces, such as two can ports, an mlb150/50 port, an esai audio interface, and an asynchronous sample rate converter for multichannel/multisource audio. ? advanced security?the processors deliver hardware -enabled security featur es that enable secure e-commerce, digital rights manage ment (drm), information encryption, secure boot, and secure software downloads. the security features are discussed in de tail in the i.mx 6dual/6quad security reference manual (imx6dq6sdlsrm). ? integrated power management?the processors integrate linear regul ators and internally generate voltage levels for different domains. this si gnificantly simplifies system power management structure.
introduction i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 3 1.1 ordering information table 1 shows examples of orderable part numbers covered by th is data sheet. table 1 does not include all possible orderable part numbers. the latest part num bers are available on freescale.com/imx6series. if your desired part number is not listed in table 1 , or you have questions a bout available parts, see freescale.com/imx6series or contac t your freescale representative. figure 1 describes the part number nomencl ature so that users can identify the characteristics of the specific part number they have (for example, cores, frequency, temperature grad e, fuse options, silicon revision). figure 1 applies to the i.mx 6quad and i.mx 6dual. the primary characteristic that desc ribes which data sheet a specific pa rt applies to is the temperature grade (junction) field: ? the i.mx 6dual/6quad automo tive and infotainment applications processors data sheet (imx6dqaec) covers parts listed with ?a (automotive temp)? ? the i.mx 6dual/6quad app lications processors for c onsumer products data sheet (imx6dqcec) covers parts listed with ?d (consumer temp)? or ?e (extended consumer temp)? ? the i.mx 6dual/6quad applicati ons processors for industrial pr oducts data sh eet (imx6dqiec) covers parts listed with ?c (industrial temp)? ensure that you have the right data sheet for your specific part by checki ng the temperature grade (junction) field and matching it to the right data shee t. if you have questions, s ee freescale.com/imx6series or contact your free scale representative. table 1. example automotive grade orderable part numbers part number quad/dual cpu options speed grade temperature grade package mcimx6q6avt10ac i.mx 6quad with vpu, gpu 1 ghz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded) mcimx6q4avt10ac i.mx 6quad with gpu, no vpu 1 ghz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded) mcimx6q6avt08ac i.mx 6quad with vpu, gpu 8 52 mhz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded) mcimx6q4avt08ac i.mx 6quad with gpu, no vpu 852 mhz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded) mcimx6d6avt10ac i.mx 6dual with vpu, gpu 1 ghz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded) MCIMX6D4AVT10AC i.mx 6dual with gpu, no vpu 1 ghz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded) mcimx6d6avt08ac i.mx 6dual with vpu, gpu 8 52 mhz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded) mcimx6d4avt08ac i.mx 6dual with gpu, no vpu 852 mhz automotive 21 mm x 21 mm, 0.8 mm pitch, fcpbga (lidded)
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 4 freescale semiconductor introduction figure 1. part number nomenclature?i.mx 6quad and i.mx 6dual 1.2 features the i.mx 6dual/6quad processors are based on arm cortex-a9 mpcore? platform, which has the following features: ? arm cortex-a9 mpcore 4xcpu processor (with trustzone) ? the core configuration is symmetric, where each core includes: ? 32 kbyte l1 instruction cache ? 32 kbyte l1 data cache ? private timer and watchdog ? cortex-a9 neon mpe (media processing engine) co-processor the arm cortex-a9 mpcore complex includes: ? general interrupt controller (gic) with 128 interrupt support ? global timer ? snoop control unit (scu) ? 1 mb unified i/d l2 cache, shared by two/four cores ? two master axi (64-bit) bus interfaces output of l2 cache ? frequency of the core (including neon and l1 cache) as per table 6, "operating ranges," on page 20 part differentiator @ industrial with vpu, gpu, no mlb 7 autom otive with vpu, gpu 6 consumer, with vpu, gpu 5 automotive with gpu, no vpu 4 autom otive, no vpu, no gpu 1 temperature tj + consumer: 0 to + 95 ? cd extended consumer: -20 to + 105 ? ce industrial: -40 to +105 ? cc auto: -40 to + 125 ? ca frequency $$ 800 mhz 2 (industrial grade) 08 850 mhz (automotive grade) 08 1 ghz 3 10 1.2 ghz 12 package type rohs fcpbga 21x21 0.8mm (lidded) vt fcpbga 21x21 0.8mm (non lidded) ym qualification level mc prototype samples pc mass production mc special sc part # series x i.mx 6quad q i.mx 6dual d silicon revision 1 a rev 1.2 c fusing % real codec off and no hdcp or dtcp a real codec off with hdcp on c mc ? imx6 x @ + vv $$ % a 1. ? see ? the ? freescale.com\imx6series ? web ? page ? for ? latest ? information ? on ? the ? available ? silicon ? revision. 2. ? if ? a ? 24 ? mhz ? input ? clock ? is ? used ? (required ? for ? usb), ? the ? maximum ? soc speed ? is ? limited ? to ? 792 ? mhz. 3. ? if ? a ? 24 ? mhz ? input ? clock ? is ? used ? (required ? for ? usb), ? the ? maximum ? soc speed ? is ? limited ? to ? 996 ? mhz.
introduction i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 5 ? neon mpe coprocessor ? simd media processing architecture ? neon register file with 32x64- bit general-purpose registers ? neon integer execute pipe line (alu, shift, mac) ? neon dual, single-precision floating poi nt execute pipeli ne (fadd, fmul) ? neon load/store and permute pipeline the soc-level memory system consists of the following a dditional components: ? boot rom, including hab (96 kb) ? internal multimedia / shared, fast access ram (ocram, 256 kb) ? secure/non-secure ram (16 kb) ? external memory interfaces: ? 16-bit, 32-bit, and 64-bit ddr3-1066, lv ddr3-1066, and 1/2 lpddr2-1066 channels, supporting ddr interleavi ng mode, for 2x32 lpddr2-1066 ? 8-bit nand-flash, including support for raw ml c/slc, 2 kb, 4 kb, and 8 kb page size, ba-nand, pba-nand, lba-nand, onenan d? and others. bch ecc up to 40 bit. ? 16/32-bit nor flash. all eimv2 pi ns are muxed on other interfaces. ? 16/32-bit psram, cellular ram each i.mx 6dual/6quad processor en ables the following interfaces to ex ternal devices (some of them are muxed and not available simultaneously): ? hard disk drives?sata ii, 3.0 gbps ? displays?total five interfaces available. tota l raw pixel rate of all interfaces is up to 450 mpixels/sec, 24 bpp. up to four inte rfaces may be activ e in parallel. ? one parallel 24-bit display port, up to 225 mpix els/sec (for example, wuxga at 60 hz or dual hd1080 and wxga at 60 hz) ? lvds serial ports?one port up to 165 mpixels/s ec or two ports up to 85 mp/sec (for example, wuxga at 60 hz) each ? hdmi 1.4 port ? mipi/dsi, two lanes at 1 gbps ? camera sensors: ? parallel camera port (up to 20 bit and up to 240 mhz peak) ? mipi csi-2 serial camera port, supporting up to 1000 mbps/lane in 1/2/3-lane mode and up to 800 mbps/lane in 4-lane mode. the csi-2 receiver core can manage one clock lane and up to four data lanes. each i.mx 6dua l/6quad processor has four lanes. ? expansion cards: ? four mmc/sd/sdio card ports all supporting: ? 1-bit or 4-bit transfer mode specifications for sd and sd io cards up to uhs-i sdr-104 mode (104 mb/s max) ? 1-bit, 4-bit, or 8-bit transfer mode specific ations for mmc cards up to 52 mhz in both sdr and ddr modes (104 mb/s max)
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 6 freescale semiconductor introduction ?usb : ? one high speed (hs) usb 2.0 otg (up to 480 mbps), with integrated hs usb phy ? three usb 2.0 (480 mbps) hosts: ? one hs host with integrated high speed phy ? two hs hosts with integrated hs-ic usb (high speed inter-chip usb) phy ? expansion pci express por t (pcie) v2.0 one lane ? pci express (gen 2.0) dual m ode complex, supporting root co mplex operations and endpoint operations. uses x1 phy configuration. ? miscellaneous ips and interfaces: ? three i2s/ssi/ac97, up to 1.4 mbps each ? enhanced serial audio interface (e sai), up to 1.4 mbps per channel ? five uarts, up to 4.0 mbps each: ? providing rs232 interface ? supporting 9-bit rs485 multidrop mode ? one of the five uarts (uart1) supports 8-wire while others four s upports 4-wire. this is due to the soc iomux limitation, since all uart ips are identical. ? five ecspi (enhanced cspi) ? three i2c, supporting 400 kbps ? gigabit ethernet controller (ieee1588 compliant), 10/100/1000 1 mbps ? four pulse width modulators (pwm) ? system jtag controller (sjc) ? gpio with interrupt capabilities ? 8x8 key pad port (kpp) ? sony philips digital interconnect format (spdif), rx and tx ? two controller area netw ork (flexcan), 1 mbps each ? two watchdog timers (wdog) ? audio mux (audmux) ? mlb (medialb) provides interface to most ne tworks (150 mbps) with the option of dtcp cipher accelerator the i.mx 6dual/6quad processors integrate advanced power ma nagement unit and controllers: ? provide pmu, including ldo su pplies, for on-chip resources ? use temperature sensor for monitoring the die temperature ? support dvfs techniques for low power modes ? use software state retention and power gating for arm and mpe ? support various levels of system power modes ? use flexible clock gating control scheme 1. the theoretical maximum performance of 1 gbps enet is limi ted to 470 mbps (total for tx and rx) due to internal bus throughput limitations. the actual measured performance in optimized environment is up to 400 mbps. for details, see the err004512 erratum in the i.mx 6dua l/6quad errata document (imx6dqce).
introduction i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 7 the i.mx 6dual/6quad processors use dedicated hard ware accelerators to meet the targeted multimedia performance. the use of hardware a ccelerators is a key factor in obtai ning high performanc e at low power consumption numbers, while having the cpu core relatively free for performing other tasks. the i.mx 6dual/6quad processors incorpor ate the following hardware accelerators: ? vpu?video processing unit ? ipuv3h?image processing un it version 3h (2 ipus) ? gpu3dv4?3d graphics processing un it (opengl es 2.0) version 4 ? gpu2dv2?2d graphics pr ocessing unit (bitblt) ? gpuvg?openvg 1.1 graphics processing unit ? asrc?asynchronous sample rate converter security functions are enabled and accelerated by the following hardware: ? arm trustzone including the tz architecture (s eparation of interrupts, memory mapping, etc.) ? sjc?system jtag controller. protecting jt ag from debug port attacks by regulating or blocking the access to th e system debug features. ? caam?cryptographic accelerati on and assurance module, cont aining 16 kb secure ram and true and pseudo random number generator (nist certified) ? snvs?secure non-volatile storage, including secure real time clock ? csu?central security unit. enhancement for the ic identification module (iim). will be configured during boot and by efus es and will determine the secu rity level operation mode as well as the tz policy. ? a-hab?advanced high assurance boot?hab v4 with the new embedded enhancements: sha-256, 2048-bit rsa key, versi on control mechanism, warm boot , csu, and tz initialization. 1.3 updated signal naming convention the signal names of the i.mx6 series of products have been standardized to bett er align the signal names within the family and across the documentation. some of the benefits of thes e changes are as follows: ? the names are unique within the scope of an soc and within the series of products ? searches will return all occurrences of the named signal ? the names are consistent be tween i.mx 6 series products implementing the same modules ? the module instance is incorporated into the signal name this change applies only to signal na mes. the original ball names have been preserved to prevent the need to change schematics, bsdl models, ibis models, etc. throughout this document, the updated signal names are used except where referenced as a ball name (such as the functional contact assignm ents table, ball map table, and so on). a master list of the signal name changes is in the document, imx 6 series signal name mapping (eb792). this list can be used to map the signal names used in older documentati on to the new standardized naming conventions.
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 8 freescale semiconductor architectural overview 2 architectural overview the following subsections provide an architectural overview of the i. mx 6dual/6quad pr ocessor system. 2.1 block diagram figure 2 shows the functional modules in the i.mx 6dual/6quad processor system. figure 2. i.mx 6dual/6quad automotive grade system block diagram note the numbers in brackets indicate numbe r of module instances. for example, pwm (4) indicates four se parate pwm peripherals. smart dma (sdma) shared peripherals ap peripherals arm cortex a9 ssi (3) ecspi (5) mpcore platform timers/control gpt pwm (4) epit (2) gpio wdog (2) i2c (3) iomuxc ocotp audmux kpp boot rom csu fuse box debug dap tpiu caam (16kb ram) security usb otg + 3 hs ports ctis internal host phy2 otg phy1 esai external memory ram (272kb) ldb 1/2 lcd displays domain (ap) sjc 1mb l2 cache scu, timer wlan usb otg jtag (ieee1149.6) bluetooth mmc/sd emmc/esd sata ii 3.0gbps gps audio, power mgmnt. spba can (2) digital audio 5xfast-uart spdif rx/tx video proc. unit (vpu + cache) 3d graphics proc. unit (gpu3d) axi and ahb switch fabric 1/2 lvds (wuxga+) battery ctrl device nor flash psram lpddr2/ddr3 532mhz (ddr1066) 1-gbps enet mlb 150 4x camera parallel/mipi (96kb) clock and reset pll (8) ccm gpc src xtalosc osc32k ptm?s cti?s hdmi 1.4 display gpmi hsi/mipi mipi display dsi/mipi hdmi 2xhsic phy pcie bus asrc snvs (srtc) usdhc usdhc (3) modem ic 2d graphics proc. unit (gpu2d) mmc/sd sdxc raw/onfi 2.2 nand-flash mmdc eim keypad a9-core l1 i/d cache timer, wdog 4x dtcp crystals & clock sources imageprocessing subsystem 2x ipuv3h temp monitor mlb/most openvg 1.1 proc. unit (gpu vg) mbps 10/100/1000 ethernet network (dev/host) interface 2xcan interface gps gps csi2/mipi application processor
modules list i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 9 3 modules list the i.mx 6dual/6quad proces sors contain a variety of digital and an alog modules. table 2 describes these modules in alphabetical order. table 2. i.mx 6dual/6quad modules list block mnemonic block name subsystem brief description 512x8 fuse box electrical fuse array security electrical fuse array. enables to setup boot modes, security levels, security keys, and many other system parameters. the i.mx 6dual/6quad processors consist of 512x8-bit fuse box accessible through ocotp_ctrl interface apbh-dma nand flash and bch ecc dma controller system control peripherals dma controller used for gpmi2 operation arm arm platform arm the arm cortex-a9 platform consists of 4x (four) cortex-a9 cores version r2p10 and associated sub-blocks, including level 2 cache controller, scu (snoop control unit), gic (general interrupt controller), private timers, watchdog, and coresight debug modules. asrc asynchronous sample rate converter multimedia peripherals the asynchronous sample rate converter (asrc) converts the sampling rate of a signal associated to an input clock into a signal associated to a different output cloc k. the asrc supports concurrent sample rate conversion of up to 10 channels of about -120db thd+n. the sample rate conversion of each channel is associated to a pair of incoming and outgoing sampling rates. the asrc supports up to three sampling rate pairs. audmux digital audio mux multimedia peripherals the audmux is a programmable interconnect for voice, audio, and synchronous data routing between host serial interfaces (for example, ssi1, ssi2, and ssi3) and peripheral serial interfaces (audio and voice codecs). the audmux has seven ports with identical functionality and programming models. a desired connectivity is achieved by configuring two or more audmux ports. bch40 binary-bch ecc processor system control peripherals the bch40 module provides up to 40-bit ecc encryption/decryption for nand flash controller (gpmi) caam cryptographic accelerator and assurance module security caam is a cryptographic acce lerator and assurance module. caam implements several encryption and hashing functions, a run-time integrity checker, and a pseudo random number generator (prng). the pseudo random number generator is certified by cryptographic algorithm validation program (cavp) of nati onal institute of standards and technology (nist). its drbg validation number is 94 and its shs validation number is 1455. caam also implements a secure memory mechanism. in i.mx 6dual/6quad processors, the security memory provided is 16 kb. ccm gpc src clock control module, general power controller, system reset controller clocks, resets, and power control these modules are responsible for clock and reset distribution in the system, and also for the system power management.
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 10 freescale semiconductor modules list csi mipi csi-2 interface multimedia peripherals the csi ip provides mipi csi-2 standard camera interface port. the csi-2 interface supports up to 1 gbps for up to 3 data lanes and up to 800 mbps for 4 data lanes. csu central security unit security the central security unit (csu) is responsible for setting comprehensive security policy within the i.mx 6d ual/6quad platform. the security control registers (scr) of the csu are set during boot time by the hab and are locked to prevent further writing. cti-0 cti-1 cti-2 cti-3 cti-4 cross trigger interfaces debug / trace cross trigger interfaces allows cross-triggering based on inputs from masters attached to ctis. the cti module is internal to the cortex-a9 core platform. ctm cross trigger matrix debug / trace cross trigger matrix ip is used to route triggering events between ctis. the ctm module is internal to the cortex-a9 core platform. dap debug access port system control peripherals the dap provides real-time access for the debugger without halting the core to: ? system memory and peripheral registers ? all debug configuration registers the dap also provides debugger access to jtag scan chains. the dap module is internal to the cortex-a9 core platform. dcic-0 dcic-1 display content integrity checker automotive ip the dcic provides integrity chec k on portion(s) of the display. each i.mx 6dual/6quad processor has two such modules, one for each ipu. dsi mipi dsi interface multimedia peripherals the mipi dsi ip provides dsi standard display port interface. the dsi interface support 80 mbps to 1 gbps speed per data lane. dtcp dtcp mm provides encryption function according to digital transmission content protection standard for traffic over mlb150. ecspi1-5 configurable spi connectivity peripherals full-duplex enhanced synchronous serial interface. it is configurable to support master/slave modes, four chip selects to support multiple peripherals. enet ethernet controller connectivity peripherals the ethernet media access controller (mac) is designed to support 10/100/1000 mbps ethernet/ieee 8 02.3 networks. an external transceiver interface and transceiver function are required to complete the interface to the media. the i. mx 6dual/6quad processors also consist of hardware assist for ieee 1588 standard. for details, see the enet chapter of the i.mx 6dual/6quad reference manual (imx6dqrm). note: the theoretical maximum performanc e of 1 gbps enet is limited to 470 mbps (total for tx and rx) due to internal bus throughput limitations. the actual measured per formance in optimized environment is up to 400 mbps. for details, see the err004512 erratum in the i.mx 6dual/6quad errata document (imx6dqce). table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
modules list i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 11 epit-1 epit-2 enhanced periodic interrupt timer timer peripherals each epit is a 32-bit ?set and forget ? timer that starts counting after the epit is enabled by software. it is c apable of providing precise interrupts at regular intervals with minimal processor intervention. it has a 12-bit prescaler for division of input clock frequency to get the required time setting for the interrupts to occur, and counter value can be programmed on the fly. esai enhanced serial audio interface connectivity peripherals the enhanced serial audio interface ( esai) provides a full-duplex serial port for serial communication with a variety of serial devices, including industry-standard codecs, spdif transceivers, and other processors. the esai consists of independent transmitter and receiver sections, each section with its own clock generator. all serial transfers are synchronized to a clock. additional synchronization signals are used to delineate the word frames. the normal mode of operation is used to transfer data at a periodic rate, one word per period. the network mode is also intended for periodic transfers; however, it supports up to 32 words (time slots) per period. this mode can be used to build time division multiplexed (tdm) networks. in contrast, the on-demand mode is intended for non-periodic transfers of data and to transfer data serially at high speed when the data becomes available. the esai has 12 pins for data and clocking connection to external devices. flexcan-1 flexcan-2 flexible controller area network connectivity peripherals the can protocol was primarily, but not only, designed to be used as a vehicle serial data bus, meeting the specific requirements of this field: real-time processing, reliable operation in the electromagnetic interference (emi) environment of a vehicle, cost-effectiveness and required bandwidth. the flexcan module is a full implementation of the can protocol specification, versi on 2.0 b, which supports both standard and extended message frames. gpio-1 gpio-2 gpio-3 gpio-4 gpio-5 gpio-6 gpio-7 general purpose i/o modules system control peripherals used for general purpose input/output to external devices. each gpio module supports 32 bits of i/o. gpmi general purpose media interface connectivity peripherals the gpmi module supports up to 8x nand devices. 40-bit ecc encryption/decryption for nand flas h controller (gpmi2). the gpmi supports separate dma channels per nand device. gpt general purpose timer timer peripherals each gpt is a 32-bit ?free-running? or ?set and forget? mode timer with programmable prescaler and compare and capture register. a timer counter value can be captured using an external event and can be configured to trigger a capture event on either the leading or trailing edges of an input pulse. when the timer is configured to operate in ?set and forget? mode, it is capable of providing precise interrupts at regular intervals with minimal processor intervention. the counter has output compare logic to provide the status and interrupt at comparison. this timer can be configured to run either on an external clock or on an internal clock. table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 12 freescale semiconductor modules list gpu2dv2 graphics processing unit-2d, ver. 2 multimedia peripherals the gpu2dv2 provides hardware acceleration for 2d graphics algorithms, such as bit blt, stretch blt, and many other 2d functions. gpu3dv4 graphics processing unit, ver. 4 multimedia peripherals the gpu3dv4 provides hardware acceleration for 3d graphics algorithms with sufficient processor power to run desktop quality interactive graphics applications on displays up to hd1080 resolution. the gpu3d provides opengl es 2.0, including extensions, opengl es 1.1, and openvg 1.1 gpuvgv2 vector graphics processing unit, ver. 2 multimedia peripherals openvg graphics accelerator provides openvg 1.1 support as well as other accelerations, including real-time hardware curve tesselation of lines, quadratic and cubic bezier curves, 16x line anti-aliasing, and various vector drawing functions. hdmi tx hdmi tx interface multimedia peripherals the hdmi module provides hdmi stand ard interface port to an hdmi 1.4 compliant display. hsi mipi hsi interface connectivity peripherals the mipi hsi provides a standard mi pi interface to the applications processor. i 2 c-1 i 2 c-2 i 2 c-3 i 2 c interface connectivity peripherals i 2 c provide serial interface for external devices. data rates of up to 400 kbps are supported. iomuxc iomux control system control peripherals this module enables flexible io multiplexing. each io pad has default and several alternate functions. the alternate functions are software configurable. ipuv3h-1 ipuv3h-2 image processing unit, ver. 3h multimedia peripherals ipuv3h enables connectivity to displays and video sources, relevant processing and synchronization and control capabilities, allowing autonomous operation. the ipuv3h supports concurrent output to two display ports and concurrent input from two camera ports, through the following interfaces: ? parallel interfaces for both display and camera ? single/dual channel lvds display interface ? hdmi transmitter ? mipi/dsi transmitter ? mipi/csi-2 receiver the processing includes: ? image conversions: resizing, rotation, inversion, and color space conversion ? a high-quality de-interlacing filter ? video/graphics combining ? image enhancement: color adjustment and gamut mapping, gamma correction, and contrast enhancement ? support for display backlight reduction kpp key pad port connectivity peripherals kpp supports 8 x 8 external key pad matrix. kpp features are: ? open drain design ? glitch suppression circuit design ? multiple keys detection ? standby key press detection table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
modules list i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 13 ldb lvds display bridge connectivity peripherals lvds display bridge is used to connect the ipu (image processing unit) to external lvds display interface. ldb supports two channels; each channel has following signals: ? one clock pair ? four data pairs each signal pair contains lvds special differential pad (padp, padm). mlb150 medialb connectivity / multimedia peripherals the mlb interface module provides a link to a most ? data network, using the standardized medialb protocol (up to 150 mbps). the module is backward compatible to mlb-50. mmdc multi-mode ddr controller connectivity peripherals ddr controller has the following features: ? support 16/32/64-bit ddr3-1066 (lv) or lpddr2-1066 ? supports both dual x32 for lpddr2 and x64 ddr3 / lpddr2 configurations (including 2x32 interleaved mode) ? support up to 4 gbyte ddr memory space ocotp_ctrl otp controller security the on-chip otp cont roller (ocotp_ctrl) provides an interface for reading, programming, and/or overriding identification and control information stored in on-chip fuse elements. the module supports electrically-programmable poly fuses (efuses). the ocotp_ctrl also provides a set of volatile software-accessible signals that can be used for software control of hardware elements, not requiring non-volatility. the ocotp_ctrl provides the primary user-visible mechanism for interfacing with on-chip fuse elements. among the uses for the fuses are unique chip identifiers, mask revision numbers, cryptographic keys, jtag secure mode, boot characteristics, and various control signals, requiring permanent non-volatility. ocram on-chip memory controller data path the on-chip memory controller (ocram) module is designed as an interface between system?s axi bu s and internal (on-chip) sram memory module. in i.mx 6dual/6quad processors, the ocram is used for controlling the 256 kb multimedia ram through a 64-bit axi bus. osc 32 khz osc 32 khz clocking generates 32.768 khz clock from an external crystal. pcie pci express 2.0 connectivity peripherals the pcie ip provides pci express gen 2.0 functionality. pmu power-management functions data path integrated power management unit. used to provide power to various soc domains. pwm-1 pwm-2 pwm-3 pwm-4 pulse width modulation connectivity peripherals the pulse-width modulator (pwm) has a 16-bit counter and is optimized to generate sound from stored sample audio images and it can also generate tones. it uses 16-bit resolution and a 4x16 data fifo to generate sound. ram 16 kb secure/non-secure ram secured internal memory secure/non-secure internal ram, interfaced through the caam. ram 256 kb internal ram internal memory internal ram, which is accessed through ocram memory controller. table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 14 freescale semiconductor modules list rom 96kb boot rom internal memory supports secure and regular boot mo des. includes read protection on 4k region for content protection romcp rom controller with patch data path rom controller with rom patch support sata serial ata connectivity peripherals the sata controller and phy is a complete mixed-signal ip solution designed to implement sata ii, 3.0 gbps hdd connectivity. sdma smart direct memory access system control peripherals the sdma is multi-channel flexible dma engine. it helps in maximizing system performance by off-loading t he various cores in dynamic data routing. it has the following features: ? powered by a 16-bit instruction-set micro-risc engine ? multi-channel dma supporting up to 32 time-division multiplexed dma channels ? 48 events with total flexibility to trigger any combination of channels ? memory accesses including linear, fifo, and 2d addressing ? shared peripherals between arm and sdma ? very fast context-switching with 2-level priority based preemptive multi-tasking ? dma units with auto-flush and prefetch capability ? flexible address management for dma transfers (increment, decrement, and no address changes on source and destination address) ? dma ports can handle unit-directional and bi-directional flows (copy mode) ? up to 8-word buffer for configurable burst transfers ? support of byte-swapping and crc calculations ? library of scripts and api is available sjc system jtag controller system control peripherals the sjc provides jtag interface, which complies with jtag tap standards, to internal logic. the i.mx 6dual/6quad processors use jtag port for production, testing, and sys tem debugging. in addition, the sjc provides bsr (boundary scan register) standard support, which complies with ieee1149.1 and ieee1149.6 standards. the jtag port must be accessible during platform initial laboratory bring-up, for manufacturing tests and troubleshooting, as well as for software debugging by authorized entities. the i.mx 6dual/6quad sjc incorporates three security modes for protecting against unauthorized accesses. modes are selected through efuse configuration. snvs secure non-volatile storage security secure non-volatile storage, includ ing secure real time clock, security state machine, master key control, and violation/tamper detection and reporting. spdif sony philips digital interconnect format multimedia peripherals a standard audio file transfer format, developed jointly by the sony and phillips corporations. it supports transmitter and receiver functionality. table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
modules list i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 15 ssi-1 ssi-2 ssi-3 i2s/ssi/ac97 interface connectivity peripherals the ssi is a full-duplex synchronous interface, which is used on the processor to provide connectivity with off-chip audio peripherals. the ssi supports a wide variety of protocols (ssi normal, ssi network, i2s, and ac-97), bit depths (up to 24 bits per word), and clock / frame sync options. the ssi has two pairs of 8x24 fifos and hardware support for an external dma controller in order to minimize its impact on system performance. the second pair of fi fos provides hardware interleaving of a second audio stream that redu ces cpu overhead in use cases where two time slots are being used simultaneously. tempmon temperature monitor system control peripherals the temperature monitor/sensor ip module for detecting high temperature conditions. the temperatur e read out does not reflect case or ambient temperature. it reflects the temperature in proximity of the sensor location on the die. temperature distribution may not be uniformly distributed; therefore, the read out value may not be the reflection of the temperature value for the entire die. tzasc trust-zone address space controller security the tzasc (tzc-380 by arm) pr ovides security address region control functions required for intended application. it is used on the path to the dram controller. uart-1 uart-2 uart-3 uart-4 uart-5 uart interface connectivity peripherals each of the uartv2 modules support the following serial data transmit/receive protoc ols and configurations: ? 7- or 8-bit data words, 1 or 2 stop bits, programmable parity (even, odd or none) ? programmable baud rates up to 4 mhz. this is a higher max baud rate relative to the 1.875 mhz, which is stated by the tia/eia-232-f standard and the i.mx31 uart modules. ? 32-byte fifo on tx and 32 ha lf-word fifo on rx supporting auto-baud ? irda 1.0 support (up to sir speed of 115200 bps) ? option to operate as 8-pins full uart, dce, or dte usboh3a usb 2.0 high speed otg and 3x hs hosts connectivity peripherals usboh3 contains: ? one high-speed otg module with integrated hs usb phy ? one high-speed host module with integrated hs usb phy ? two identical high-speed host modules connected to hsic usb ports. table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 16 freescale semiconductor modules list usdhc-1 usdhc-2 usdhc-2 usdhc-4 sd/mmc and sdxc enhanced multi-media card / secure digital host controller connectivity peripherals i.mx 6dual/6quad specific soc characteristics: all four mmc/sd/sdio controller ips are identical and are based on the usdhc ip. they are: ? fully compliant with mmc command/response sets and physical layer as defined in the multimedia card system specification, v4.2/4.3/4.4/4.41 including high-capacity (size > 2 gb) cards hc mmc. hardware reset as specified for emmc cards is supported at ports #3 and #4 only. ? fully compliant with sd command/response sets and physical layer as defined in the sd memory card specifications, v3.0 including high-capacity sdhc cards up to 32 gb. ? fully compliant with sdio command/response sets and interrupt/read-wait mode as defined in the sdio card specification, part e1, v1.10 ? fully compliant with sd card specification, part a2, sd host controller standard s pecification, v2.00 all four ports support: ? 1-bit or 4-bit transfer mode specific ations for sd and sdio cards up to uhs-i sdr104 mode (104 mb/s max) ? 1-bit, 4-bit, or 8-bit transfer mode specifications for mmc cards up to 52 mhz in both sdr and ddr modes (104 mb/s max) however, the soc-level integration and i/o muxing logic restrict the functionality to the following: ? instances #1 and #2 are primarily intended to serve as external slots or interfaces to on-board sdio devices. these ports are equipped with ?card detection? and ?write protection? pads and do not support hardware reset. ? instances #3 and #4 are primarily intended to serve interfaces to embedded mmc memory or interfaces to on-board sdio devices. these ports do not have ?card detection? and ?write protection? pads and do support hardware reset. ? all ports can work with 1.8 v and 3.3 v cards. there are two completely independent i/o power domains for ports #1 and #2 in four bit configuration (sd interface). port #3 is placed in his own independent power domain and port #4 shares power domain with some other interfaces. vdoa vdoa multimedia peripherals the video data order adapter (vdoa) is used to re-order video data from the ?tiled? order used by the vpu to the conventional raster-scan order needed by the ipu. vpu video processing unit multimedia peripherals a high-performing video processing unit (vpu), which covers many sd-level and hd-level video decoders and sd-level encoders as a multi-standard video codec engine as well as several important video processing, such as rotation and mirroring. see the i.mx 6dual/6quad reference manual (imx6dqrm) for complete list of vpu?s decoding/encoding capabilities. wdog-1 watchdog timer peripherals the watchdog timer supports two comparison points during each counting period. each of the comparison points is configurable to evoke an interrupt to the arm core, and a second point evokes an external event on the wdog line. table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
modules list i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 17 3.1 special signal considerations the package contact assign ments can be found in section 6, ?package information and contact assignments.? signal descriptions are defined in the i.mx 6dual/6quad reference manual (imx6dqrm). special signal consideration informat ion is contained in the hardware development guide for i.mx 6quad, 6dual, 6duallite, 6s olo families of applic ations processors (imx6dq6sdlhdg). 3.2 recommended connections for unused analog interfaces the recommended connections for unused analog interfaces can be f ound in the section, ?unused analog interfaces,? of the hardware deve lopment guide for i.mx 6quad, 6dua l, 6duallite, 6solo families of applications proces sors (imx6dq6sdlhdg). wdog-2 (tz) watchdog (trustzone) timer peripherals the trustzone watchdog (tz wdog) timer module protects against trustzone starvation by providing a method of escaping normal mode and forcing a switch to the tz mode . tz starvation is a situation where the normal os prevents switching to the tz mode. such a situation is undesirable as it can compromise the system?s security. once the tz wdog module is activated, it must be serviced by tz software on a periodic basis. if servicing does not take place, the timer times out. upon a time-out, the tz wdog asserts a tz mapped interrupt that forces switching to the tz mode. if it is still not served, the tz wdog asserts a security violation signal to the csu. the tz wdog module cannot be programmed or deactivated by a normal mode software. eim nor-flash /psram interface connectivity peripherals the eim nor-flash / psram provides: ? support 16-bit (in muxed io mode only) psram memories (sync and async operating modes), at slow frequency ? support 16-bit (in muxed io mode only) nor-flash memories, at slow frequency ? multiple chip selects xtalosc crystal oscillator interface ? the xtalosc module enables connectivity to external crystal oscillator device. in a typical application use-case, it is used for 24 mhz oscillator. table 2. i.mx 6dual/6quad modules list (continued) block mnemonic block name subsystem brief description
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 18 freescale semiconductor electrical characteristics 4 electrical characteristics this section provides the device a nd module-level electrical characte ristics for the i.mx 6dual/6quad processors. 4.1 chip-level conditions this section provides the device-level electrical characteristics for the soc. see table 3 for a quick reference to the individua l tables and sections. 4.1.1 absolute maximum ratings caution stresses beyond those listed under table 4 may affect reliability or cause permanent damage to the device. thes e are stress ratings only. functional operation of the device at these or any other conditions beyond those indicated in the operating ranges or parameters tables is not implied. table 3. i.mx 6dual/6quad chip-level conditions for these characteristics, ? topic appears ? absolute maximum ratings on page 18 fcpbga package thermal resistance on page 19 operating ranges on page 20 external clock sources on page 22 maximum supply currents on page 23 low power mode supply currents on page 25 usb phy current consumption on page 26 sata typical power consumption on page 26 pcie 2.0 maximum power consumption on page 28 hdmi maximum power consumption on page 29 table 4. absolute maximum ratings parameter description symbol min max unit core supply voltages vdd_arm_in vdd_arm23_in vdd_soc_in -0.3 1.5 v internal supply voltages vdd_arm_cap vdd_arm23_cap vdd_soc_cap vdd_pu_cap -0.3 1.3 v gpio supply voltage supplies denoted as i/o supply -0.5 3.6 v ddr i/o supply voltage supplies denoted as i/o supply -0.4 1.975 v
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 19 4.1.2 thermal resistance 4.1.2.1 fcpbga package thermal resistance provides the fcpbga pack age thermal resistance data. mlb i/o supply voltage supplies denoted as i/o supply -0.3 2.8 v lvds i/o supply voltage supplies denoted as i/o supply -0.3 2.8 v vdd_high_in supply voltage vdd_high_in -0.3 3.6 v usb vbus usb_h1_vbus/usb_otg_vbus ? 5.25 v input voltage on usb_otg_dp, usb_otg_dn, usb_h1_dp, usb_h1_dn pins usb_dp/usb_dn -0.3 3.63 v input/output voltage range v in /v out -0.5 ovdd 1 +0.3 v esd damage immunity: v esd v ? human body model (hbm) ? charge device model (cdm) ? ? 2000 500 storage temperature range t storage -40 150 o c 1 ovdd is the i/o supply voltage. table 5. fcpbga package thermal resistance data (lidded) thermal parameter test conditions symbol value unit junction to ambient 1 1 junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air flow, power dissi pation of other components on the board, and board thermal resistance. single-layer board (1s); natural convection 2 2 per jedec jesd51-3 with the single layer board horizontal. ther mal test board meets jedec specification for the specified package. r ? ja 24 ? c/w four-layer board (2s2p); natural convection 2 r ? ja 15 ? c/w junction to ambient 1 single-layer board (1s); air flow 200 ft/min 3 3 per jedec jesd51-6 with the board horizontal. r ? jma 17 ? c/w four-layer board (2s2p); air flow 200 ft/min 4 r ? jma 12 ? c/w junction to board 1,4 4 thermal resistance between the die and the printed circuit b oard per jedec jesd51-8. board temperature is measured on the top surface of the board near the package. ?r ? jb 5 ? c/w junction to case (top) 1,5 5 thermal resistance between the die and the case top surface as measured by the cold plat e method (mil spec-883 method 1012.1). the cold plate temperatur e is used for the case temperature. reported value includes the thermal resistance of the interface layer. ?r ? jctop 1 ? c/w table 4. absolute maximum ratings (continued) parameter description symbol min max unit
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 20 freescale semiconductor electrical characteristics 4.1.3 operating ranges table 6 provides the operating ranges of the i.mx 6dual/6quad processors. table 6. operating ranges parameter description symbol min typ max 1 unit comment run mode: ldo enabled vdd_arm_in vdd_arm23_in 2 1.35 3 ? 1.5 v ldo output set point (vdd_arm_cap 4 ) of 1.225 v minimum for operation up to 852 mhz or 996 mhz (depending on the device speed grade). 1.275 3 ? 1.5 v ldo output set point (vdd_arm_cap 4 ) of 1.150 v minimum for operation up to 792 mhz. 1.05 3 ? 1.5 v ldo output set point (vdd_arm_cap 4 ) of 0.925 v minimum for operation up to 396 mhz. vdd_soc_in 5 1.350 3,6 ? 1.5 v 264 mhz < vpu ? 352 mhz; vddsoc and vddpu ldo outputs (vdd_soc_cap and vdd_pu_cap) require 1.225 v minimum. 1.275 3,6 ? 1.5 v vpu ? 264 mhz; vddsoc and vddpu ldo outputs (vdd_soc_cap and vdd_pu_cap) require 1.15 v minimum. run mode: ldo bypassed vdd_arm_in vdd_arm23_in 2 1.225 ? 1.3 v ldo bypassed for operation up to 852 mhz or 996 mhz (depending on the device speed grade). 1.125 ? 1.3 v ldo bypassed for operation up to 792 mhz. 0.925 ? 1.3 v ldo bypassed for operation up to 396 mhz. vdd_soc_in 5 1.225 6 ? 1.3 v 264 mhz < vpu ? 352 mhz 1.15 6 ? 1.3 v vpu ? 264 mhz standby/dsm mo de vdd_arm_in vdd_arm23_in 2 0.9 ? 1.3 v see table 10, "stop mode current and power consumption," on page 25 . vdd_soc_in 0.9 ? 1.3 v vdd_high internal regulator vdd_high_in 7 2.8 ? 3.3 v must match the ra nge of voltages that the rechargeable backup battery supports. backup battery supply range vdd_snvs_in 7 2.8 ? 3.3 v should be supplied from the same supply as vdd_high_in, if the syst em does not require keeping real time and other data on off state. usb supply voltages usb_otg_vbus 4.4 ? 5.25 v ? usb_h1_vbus 4.4 ? 5.25 v ? ddr i/o supply nvcc_dram 1.14 1.2 1.3 v lpddr2 1.425 1.5 1.575 v ddr3 1.283 1.35 1.45 v ddr3_l supply for rgmii i/o power group 8 nvcc_rgmii 1.15 ? 2.625 v ? 1.15 v ? 1.30 v in hsic 1.2 v mode ? 1.43 v ? 1.58 v in rgmii 1.5 v mode ? 1.70 v ? 1.90 v in rgmii 1.8 v mode ? 2.25 v ? 2.625 v in rgmii 2.5 v mode
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 21 gpio supplies 8 nvcc_csi, nvcc_eim0, nvcc_eim1, nvcc_eim2, nvcc_enet, nvcc_gpio, nvcc_lcd, nvcc_nand, nvcc_sd1, nvcc_sd2, nvcc_sd3, nvcc_jtag 1.65 1.8, 2.8, 3.3 3.6 v isolation between the nvcc_eimx and nvcc_sdx different supplies allow them to operate at different voltages within the specified range. example: nvcc_eim1 can operate at 1.8 v while nvcc_eim2 operates at 3.3 v. nvcc_lvds_2p5 9 nvcc_mipi 2.25 2.5 2.75 v ? hdmi supply voltages hdmi_vp 0.99 1.1 1.3 v ? hdmi_vph 2.25 2.5 2.75 v ? pcie supply voltages pcie_vp 1.023 1.1 1.3 v ? pcie_vph 2.325 2.5 2.75 v ? pcie_vptx 1.023 1.1 1.3 v ? sata supply voltages sata_vp 0.99 1.1 1.3 v ? sata_vph 2.25 2.5 2.75 v ? junction temperature t j -40 95 125 ? csee i.mx 6dual/6quad product lifetime usage estimates application note , an4724, for information on product lifetime (power-on years) for this processor. 1 applying the maximum voltage results in maximum power consumption and heat generation. freescale recommends a voltage set point = (vmin + the supply tolerance). this results in an optimized power/speed ratio. 2 for quad core system, connect to vdd_arm_in. for dual core system, may be shorted to gnd together with vdd_arm23_cap to reduce leakage. 3 vdd_arm_in and vdd_soc_in must be at least 125 mv higher than the ldo output set point for correct voltage regulation. 4 vdd_arm_cap must not exceed vdd_cache_cap by more than +50 mv. vdd_cache_cap must not exceed vdd_arm_cap by more than 200 mv. 5 vdd_soc_cap and vdd_pu_cap must be equal. 6 vddsoc and vddpu output voltages must be set according to this rule: vddarm-vddsoc/pu<50mv. 7 while setting vdd_snvs_in voltage with respect to charging currents and rtc, see the hardware development guide for i.mx 6dual, 6quad, 6solo, 6duallite families of applications processors (imx6dq6sdlhdg). 8 all digital i/o supplies (nvcc_xxxx) must be powered under normal conditions whether the associated i/o pins are in use or not, and associated i/o pins need to have a pull-up or pull- down resistor applied to limit any floating gate current. 9 this supply also powers the pre-drivers of the ddr i/o pins; ther efore, it must always be provided, even when lvds is not used. table 6. operating ranges (continued) parameter description symbol min typ max 1 unit comment
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 22 freescale semiconductor electrical characteristics table 7 shows on-chip ldo regulators that can supply on-chip loads. 4.1.4 external clock sources each i.mx 6dual/6quad processor has two external input system cl ocks: a low frequency (rtc_xtali) and a high frequency (xtali). the rtc_xtali is used for low-frequency functio ns. it supplies the clock for wake-up circuit, power-down real time clock operation, and slow syst em and watchdog counters. the clock input can be connected to either an external osci llator or a crystal using the internal oscillator amplif ier. additionally, there is an internal ring oscillator, that can be used instead of rtc_xt ali when accuracy is not important. the system clock input xtali is used to generate the main system cl ock. it supplies th e plls and other peripherals. the system clock input can be connected to either an external oscillator or a crystal using the internal oscillator amplifier. table 8 shows the interface frequency requirements. table 7. on-chip ldos 1 and their on-chip loads 1 on-chip ldos are designed to supply the i.mx 6dual/6quad loads and must not be used to supply external loads. voltage source load comment vdd_high_cap nvcc_lvds_2p5 board-level connection to vdd_high_cap nvcc_mipi hdmi_vph pcie_vph sata_vph vdd_soc_cap 2 2 vdd_arm_cap/vdd_arm23_cap must not exceed vdd_soc_cap by more than +50 mv. vdd_cache_cap 3 3 vdd_cache_cap must not exceed vdd_arm_cap by mo re than 200 mv. vdd_ar m_cap must not exceed vdd_cache_cap by more than +50 mv. board-level connection to vdd_soc_cap hdmi_vp pcie_vp pcie_vptx sata_vp table 8. external input clock frequency parameter description symbol min typ max unit rtc_xtali oscillator 1,2 1 external oscillator or a crystal with internal oscillator amplifier. 2 the required frequency stability of this clock source is app lication dependent. for recommendations, see the hardware development guide for i.mx 6dual, 6quad, 6solo, 6duallite families of applications processors (imx6dq6sdlhdg). f ckil ? 32.768 3 /32.0 3 recommended nominal frequency 32.768 khz. ?khz xtali oscillator 4,2 4 external oscillator or a fundamental frequency crystal with internal oscillator amplifier. f xtal ?24?mhz
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 23 the typical values shown in table 8 are required for use with freescal e bsps to ensure precise time keeping and usb operation. for rtc_xtali ope ration, two clock sources are available: ? on-chip 40 khz ring oscillator: this clock source has the following characteristics: ? approximately 25 ? a more idd than crystal oscillator ? approximately 50% tolerance ? no external component required ? starts up quicker than 32 khz crystal oscillator ? external crystal os cillator with on-chip support circuit ? at power up, an internal ring oscillator is utiliz ed. after crystal oscillat or is stable, the clock circuit switches over to the cr ystal oscillator automatically. ? higher accuracy th an ring oscillator. ? if no external crystal is present, then the ring oscillator is utilized. the decision to choose a clock source should be ba sed on real-time clock us e and precision timeout. 4.1.5 maximum supply currents the power virus numbers shown in table 9 represent a use case designe d specifically to show the maximum current consumption possibl e. all cores are running at the de fined maximum frequency and are limited to l1 cache accesses only to ensure no pi peline stalls. alt hough a valid condition, it would have a very limited practical use case, if at all, and be limited to an extremel y low duty cycle unless the intention was to specifically show the worst case power consumption. the mmpf0100xxxx, freescale?s power manage ment ic targeted for the i.mx 6 series family, supports the power virus mode operating at 1% duty cycle. higher duty cycles are allo wed, but a robust thermal design is required for the incr eased system power dissipation. see the i.mx 6dual/6quad power consumptio n measurement application note (an4509) for more details on typical power consumpti on under various use case definitions. table 9. maximum supply currents power supply conditions max current unit i.mx 6quad: vdd_arm_in+vdd_arm23_in 996 mhz arm clock based on power virus operation 3920 ma i.mx 6dual: vdd_arm_in 996 mhz arm clock based on power virus operation, vdd_arm23_in grounded 2352 ma vdd_soc_in 996 mhz arm clock 1890 ma vdd_high_in ? 125 1 ma vdd_snvs_in ? 275 2 ?? a usb_otg_vbus/usb_h1_vbus (ldo 3p0) ? 25 3 ma
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 24 freescale semiconductor electrical characteristics primary interface (io) supplies nvcc_dram ? (see 4 ) nvcc_enet n=10 use maximum io equation 5 nvcc_lcd n=29 use maximum io equation 5 nvcc_gpio n=24 use maximum io equation 5 nvcc_csi n=20 use maximum io equation 5 nvcc_eim0 n=19 use maximum io equation 5 nvcc_eim1 n=14 use maximum io equation 5 nvcc_eim2 n=20 use maximum io equation 5 nvcc_jtag n=6 use maximum io equation 5 nvcc_rgmii n=12 use maximum io equation 5 nvcc_sd1 n=6 use maximum io equation 5 nvcc_sd2 n=6 use maximum io equation 5 nvcc_sd3 n=11 use maximum io equation 5 nvcc_nand n=26 use maximum io equation 5 nvcc_mipi ? 25.5 ma misc dram_vref ? 1 ma 1 the actual maximum current drawn from vdd_high_in will be as shown plus any additional current drawn from the vdd_high_cap outputs, depending upon actual application conf iguration (for example, nv cc_lvds_2p5, nvcc_mipi, or hdmi, pcie, and sata vph supplies). 2 under normal operating conditions, the maximum current on vdd_snvs_in is shown ta b l e 9 . the maximum vdd_snvs_in current may be higher depending on specific operating configur ations, such as boot_mode[1:0] not equal to 00, or use of the tamper feature. during initial power on, vdd_snvs_in can draw up to 1 ma if the supply is capable of sourcing that current. if less than 1 ma is available, the vdd_snvs_cap charge time will increase. 3 this is the maximum current per active usb physical interface. 4 the dram power consumption is dependent on several factors such as external signal termination. dram power calculators are typically available from memory vendors which take into account factors such as signal termination. see the i.mx 6dual/6quad power consumption measurement application note (an4509) for examples of dram power consumption during specific use case scenarios. 5 general equation for estimated, maximum power consumption of an io power supply: imax = n x c x v x (0.5 x f) where: n?number of io pins supplied by the power line c?equivalent external capacitive load v?io voltage (0.5 xf)?data change rate. up to 0.5 of the clock rate (f) in this equation, imax is in amps, c in farads, v in volts, and f in hertz. table 9. maximum supply currents (continued) power supply conditions max current unit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 25 4.1.6 low power mode supply currents table 10 shows the current core consumpt ion (not including i/o) of the i. mx 6dual/6quad processors in selected low power modes. table 10. stop mode current and power consumption mode test conditions supply typical 1 1 the typical values shown here are for information only and are not guaranteed. these values are average values measured on a worst-case wafer at 25 ? c. unit wait ? arm, soc, and pu ldos are set to 1.225 v ? high ldo set to 2.5 v ? clocks are gated ? ddr is in self refresh ? plls are active in bypass (24 mhz) ? supply voltages remain on vdd_arm_in (1.4 v) 6 ma vdd_soc_in (1.4 v) 23 ma vdd_high_in (3.0 v) 3.7 ma to t a l 5 2 m w stop_on ? arm ldo set to 0.9 v ? soc and pu ldos set to 1.225 v ? high ldo set to 2.5 v ? plls disabled ? ddr is in self refresh vdd_arm_in (1.4 v) 7.5 ma vdd_soc_in (1.4 v) 22 ma vdd_high_in (3.0 v) 3.7 ma to t a l 5 2 m w stop_off ? arm ldo set to 0.9 v ? soc ldo set to 1.225 v ? pu ldo is power gated ? high ldo set to 2.5 v ? plls disabled ? ddr is in self refresh vdd_arm_in (1.4 v) 7.5 ma vdd_soc_in (1.4 v) 13.5 ma vdd_high_in (3.0 v) 3.7 ma to t a l 4 1 m w standby ? arm and pu ldos are power gated ? soc ldo is in bypass ? high ldo is set to 2.5 v ? plls are disabled ? low voltage ? well bias on ? crystal oscillator is enabled vdd_arm_in (0.9 v) 0.1 ma vdd_soc_in (0.9 v) 13 ma vdd_high_in (3.0 v) 3.7 ma to t a l 2 2 m w deep sleep mode (dsm) ? arm and pu ldos are power gated ? soc ldo is in bypass ? high ldo is set to 2.5 v ? plls are disabled ? low voltage ? well bias on ? crystal oscillator and bandgap are disabled vdd_arm_in (0.9 v) 0.1 ma vdd_soc_in (0.9 v) 2 ma vdd_high_in (3.0 v) 0.5 ma to t a l 3 . 4 m w snvs only ? vdd_snvs_in powered ? all other supplies off ? srtc running vdd_snvs_in (2.8v) 41 ? a to t a l 1 1 5 ? w
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 26 freescale semiconductor electrical characteristics 4.1.7 usb phy current consumption 4.1.7.1 power down mode in power down mode, everything is powered down, in cluding the vbus valid detectors, typ condition. table 11 shows the usb interface current consumption in power down mode. note the currents on the vdd_high_ cap and vdd_usb_cap were identified to be the voltage divider circuits in the usb-specific level shifters. 4.1.8 sata typical power consumption table 12 provides sata phy currents fo r certain tx operating modes. note tx power consumption values are prov ided for a single transceiver. if t = single transceiver power and c = cl ock module power, the total power required for n lanes = n x t + c. table 11. usb phy current consumption in power down mode vdd_usb_cap (3.0 v) vdd_high_cap (2.5 v) nvcc_pll_out (1.1 v) current 5.1 ? a 1.7 ? a <0.5 ? a table 12. sata phy current drain mode test conditions supply typical current unit p0: full-power state 1 single transceiver sata_vp 11 ma sata_vph 13 clock module sata_vp 6.9 sata_vph 6.2 p0: mobile 2 single transceiver sata_vp 11 ma sata_vph 11 clock module sata_vp 6.9 sata_vph 6.2 p0s: transmitter idle single transceiver sata_vp 9.4 ma sata_vph 2.9 clock module sata_vp 6.9 sata_vph 6.2
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 27 p1: transmitter idle, rx powered down, los disabled single transceiver sata_vp 0.67 ma sata_vph 0.23 clock module sata_vp 6.9 sata_vph 6.2 p2: powered-down state, only los and por enabled single transceiver sata_vp 0.53 ma sata_vph 0.11 clock module sata_vp 0.036 sata_vph 0.12 pddq mode 3 single transceiver sata_vp 0.13 ma sata_vph 0.012 clock module sata_vp 0.008 sata_vph 0.004 1 programmed for 1.0 v peak-to-peak tx level. 2 programmed for 0.9 v peak-to-peak tx level with no boost or attenuation. 3 low power non-functional. table 12. sata phy current drain (continued) mode test conditions supply typical current unit
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 28 freescale semiconductor electrical characteristics 4.1.9 pcie 2.0 maximum power consumption table 13 provides pcie phy currents for certain operating modes. table 13. pcie phy current drain mode test conditions supply max current unit p0: normal operation 5g operations pcie_vp (1.1 v) 40 ma pcie_vptx (1.1 v) 20 pcie_vph (2.5 v) 21 2.5g operations pcie_vp (1.1 v) 27 pcie_vptx (1.1 v) 20 pcie_vph (2.5 v) 20 p0s: low recovery time latency, power saving state 5g operations pcie_vp (1.1 v) 30 ma pcie_vptx (1.1 v) 2.4 pcie_vph (2.5 v) 18 2.5g operations pcie_vp (1.1 v) 20 pcie_vptx (1.1 v) 2.4 pcie_vph (2.5 v) 18 p1: longer recovery time latency, lower power state ? pcie_vp (1.1 v) 12 ma pcie_vptx (1.1 v) 2.4 pcie_vph (2.5 v) 12 power down ? pcie_vp (1.1 v) 1.3 ma pcie_vptx (1.1 v) 0.18 pcie_vph (2.5 v) 0.36
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 29 4.1.10 hdmi maximum power consumption table 14 provides hdmi phy currents fo r both active 3d tx with lfsr 15 data pattern and power-down modes. table 14. hdmi phy current drain mode test conditions supply max current unit active bit rate 251.75 mbps hdmi_vph 14 ma hdmi_vp 4.1 ma bit rate 279.27 mbps hdmi_vph 14 ma hdmi_vp 4.2 ma bit rate 742.5 mbps hdmi_vph 17 ma hdmi_vp 7.5 ma bit rate 1.485 gbps hdmi_vph 17 ma hdmi_vp 12 ma bit rate 2.275 gbps hdmi_vph 16 ma hdmi_vp 17 ma bit rate 2.97 gbps hdmi_vph 19 ma hdmi_vp 22 ma power-down ? hdmi_vph 49 ? a hdmi_vp 1100 ? a
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 30 freescale semiconductor electrical characteristics 4.2 power supplies requir ements and restrictions the system design must comply with power-up sequence, power-down seque nce, and steady state guidelines as described in this section to ensure th e reliable operation of the device. any deviation from these sequences may result in the following situations: ? excessive current during power-up phase ? prevention of the device from booting ? irreversible damage to the processor 4.2.1 power-up sequence for power-up sequence, the re strictions are as follows: ? vdd_snvs_in supply must be tu rned on before any other power supply. it may be connected (shorted) with vdd_high_in supply. ? if a coin cell is used to power vdd_snvs_in, th en ensure that it is connected before any other supply is switched on. ? if the external src_por_b signal is used to control the processor por, src_por_b must remain low (asserted) until the vdd_arm_c ap and vdd_soc_cap supplies are stable. vdd_arm_in and vdd_soc_in may be applied in either or der with no restrictions. ? if the external src_por_b signal is not used (always held high or left unconnected), the processor defaults to the internal por function (where the pmu controls generation of the por based on the power supplies). if the internal po r function is used, the following power supply requirements must be met: ? vdd_arm_in and vdd_soc _in may be supplied from the same source, or ? vdd_soc_in can be supplied before vdd_arm_in with a maximum delay of 1 ms. note the src_por_b input (if used) must be immediately asserted at power-up and remain asserted until the last power rail reaches its working voltage. in the absence of an external reset feed ing the src_por_b input, the internal por module takes control. see the i.mx 6dual/6quad reference manual (imx6dqrm) for further details and to ensure that all necessary requirements are being met. note ensure that there is no back voltage (leakage) from any supply on the board towards the 3.3 v supply (for example, from the external components that use both the 1.8 v and 3.3 v supplies). note usb_otg_vbus and usb_h1_vbus ar e not part of the power supply sequence and can be powered at any time.
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 31 4.2.2 power-down sequence no special restrictions for i.mx 6dual/6quad soc. 4.2.3 power supplies usage ? all i/o pins should not be externally driven while the i/o power supply for the pin (nvcc_xxx) is off. this can cause internal latch-up and malfunctions due to reverse current flows. for information about i/o power supply of each pin, see ?power group? column of table 101, "21 x 21 mm functional contact assignments," on page 147 . ? when the sata interface is not used, the sa ta_vp and sata_vph s upplies should be grounded. the input and output supplies for rest of the ports (sata_rext, sata_phy_rx_n, sata_phy_rx_p, and sata_phy_tx_n) can be left floating. it is recommended not to turn off the sata_vph supply while th e sata_vp supply is on, as it may lead to excessive power consumption. if boundary scan test is used, sata_vp and sata_vph must remain powered. ? when the pcie interface is not used, the pcie _vp, pcie_vph, and pcie_vptx supplies should be grounded. the input and output supplies for rest of the ports (pcie_rext, pcie_rx_n, pcie_rx_p, pcie_tx_n, and pcie_tx_p) can be left floating. it is recommended not to turn the pcie_vph supply off while th e pcie_vp supply is on, as it may lead to excessive power consumption. if boundary scan test is used, pc ie_vp, pcie_vph, and pcie_vptx must remain powered. 4.3 integrated ldo voltage regulator parameters various internal supplies can be powered on from inte rnal ldo voltage regulators. all the supply pins named *_cap must be connected to external capaci tors. the onboard ldos are intended for internal use only and should not be used to power any external circuitry. see the i.mx 6dual/6quad reference manual (imx6dqrm) for details on the power tree scheme recommended operation. note the *_cap signals should not be power ed externally. these signals are intended for internal ldo or ldo bypass operation only. 4.3.1 digital regulators (ldo_arm, ldo_pu, ldo_soc) there are three digital ldo regulators (?digital?, beca use of the logic loads that they drive, not because of their construction). the advantages of the regulator s are to reduce the input s upply variation because of their input supply ripple re jection and their on die tr imming. this translates into more voltage for the die producing higher operating frequencies. th ese regulators have three basic modes. ? bypass. the regulation fet is sw itched fully on passing the exte rnal voltage, dcdc_low, to the load unaltered. the analog part of the regulator is powered down in this state, removing any loss other than the ir drop through the power grid and fet. ? power gate. the regulation fet is switched full y off limiting the current draw from the supply. the analog part of the regulator is powered down here limiting th e power consumption.
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 32 freescale semiconductor electrical characteristics ? analog regulation mode. the regulation fet is c ontrolled such that the output voltage of the regulator equals the programmed ta rget voltage. the target voltage is fully programmable in 25 mv steps. for additional information, see the i.mx 6dual/6quad reference manual (imx6dqrm). 4.3.2 regulators for analog modules 4.3.2.1 ldo_1p1 the ldo_1p1 regulator implements a programmable linear-regulator function from vdd_high_in (see table 6 for minimum and maximum input requirements). typical program ming operating range is 1.0 v to 1.2 v with the nominal default setting as 1.1 v. the ldo_1p1 supplies the usb phy, lvds phy, hdmi phy, mipi phy, and plls. a programma ble brown-out detector is included in the regulator that can be used by the system to determine when the load capabilit y of the regulator is being exceeded to take the necessary steps. current-limiting can be enabled to allow for in-rush current requirements during start-up, if needed. active-pull-down can also be enabled for systems requiring this feature. for information on external capacitor requirements fo r this regulator, see the hardware development guide for i.mx 6quad, 6dual, 6duallite, 6s olo families of applic ations processors (imx6dq6sdlhdg). for additional information, see the i.mx 6dual/6quad reference manual (imx6dqrm). 4.3.2.2 ldo_2p5 the ldo_2p5 module implements a programmable linear-regulator f unction from vdd_high_in (see table 6 for min and max input require ments). typical programming oper ating range is 2.25 v to 2.75 v with the nominal default setting as 2.5 v. the ldo _2p5 supplies the sata phy, usb phy, lvds phy, hdmi phy, mipi phy, e-fuse module and plls. a prog rammable brown-out detector is included in the regulator that can be used by the system to determin e when the load capability of the regulator is being exceeded, to take the necessary st eps. current-limiting can be enab led to allow for in-rush current requirements during start-up, if need ed. active-pull-down can also be enabled for systems requiring this feature. an alternate self-biase d low-precision weak-regul ator is included that can be enabled for applications needing to keep the output voltage alive during low-power modes where the main regulator driver and its associ ated global bandgap reference module are disa bled. the output of the weak-regulator is not programmable and is a function of the input suppl y as well as the load curr ent. typically, with a 3 v input supply the weak-regulator output is 2.525 v and its output impedance is approximately 40 ? . for information on external capacitor requirements fo r this regulator, see the hardware development guide for i.mx 6quad, 6dual, 6duallite, 6s olo families of applic ations processors (imx6dq6sdlhdg). for additional information, see the i.mx 6dual/6quad reference manual (imx6dqrm).
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 33 4.3.2.3 ldo_usb the ldo_usb module implements a program mable linear-regulator function from the usb_otg_vbus and usb_h1_vbus voltages ( 4.4 v?5.25 v) to produce a nominal 3.0 v output voltage. a programmable brown-out det ector is included in the regulator that can be used by the system to determine when the load capability of the regulator is be ing exceeded, to take the necessary steps. this regulator has a built in power-mux that allows the user to select to run the re gulator from either vbus supply, when both are present. if only one of th e vbus voltages is presen t, then the regulator automatically selects this supply. current limit is al so included to help the system meet in-rush current targets. if no vbus voltage is present, then the vbusvalid threshold setting will prevent the regulator from being enabled. for information on external capacitor requirements fo r this regulator, see the hardware development guide for i.mx 6quad, 6dual, 6duallite, 6s olo families of applic ations processors (imx6dq6sdlhdg). for additional information, see the i.mx 6dual/6quad reference manual (imx6dqrm). 4.4 pll electrical characteristics 4.4.1 audio/video pll electrical parameters 4.4.2 528 mhz pll table 15. audio/video pll electrical parameters parameter value clock output range 650 mhz ~1.3 ghz reference clock 24 mhz lock time <11250 reference cycles table 16. 528 mhz pll electrical parameters parameter value clock output range 528 mhz pll output reference clock 24 mhz lock time <11250 reference cycles
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 34 freescale semiconductor electrical characteristics 4.4.3 ethernet pll 4.4.4 480 mhz pll 4.4.5 mlb pll the medialb pll is necessary in the medialb 6-pi n implementation to phase align the internal and external clock edges, effectively tuning out the dela y of the differential clock receiver and is also responsible for generating the higher speed internal cl ock, when the internal-to-external clock ratio is not 1:1. 4.4.6 arm pll table 17. ethernet pll electrical parameters parameter value clock output range 500 mhz reference clock 24 mhz lock time <11250 reference cycles table 18. 480 mhz pll electrical parameters parameter value clock output range 480 mhz pll output reference clock 24 mhz lock time <383 reference cycles table 19. mlb pll electrical parameters parameter value lock time <1.5 ms table 20. arm pll electrical parameters parameter value clock output range 650 mhz~1.3 ghz reference clock 24 mhz lock time <2250 reference cycles
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 35 4.5 on-chip oscillators 4.5.1 osc24m this block implements an amplifier that when combined with a suitable quartz crystal and external load capacitors implements an oscillator. the oscillator is powered from nvcc_pll_out. the system crystal oscillator consists of a pierce-t ype structure running off the digital supply. a straight forward biased-inverter implementation is used. 4.5.2 osc32k this block implements an amplifier that when combined with a suitable quartz crystal and external load capacitors implements a low power oscillator. it also implements a power mux such that it can be powered from either a ~3 v backup battery (vdd_snvs_in) or vdd_high_in such as the oscillator consumes power from vdd_high_in when that supply is available and transitions to the back up battery when vdd_high_in is lost. in addition, if the clock monitor dete rmines that the osc32k is not pres ent, then the source of the 32 khz clock will automatically switch to a crude internal ring oscillator. the frequency range of this block is approximately 10?45 khz. it highly depends on the process, voltage, and temperature. the osc32k runs from vdd_snvs_cap, which comes from the vdd_high_in/vdd_snvs_in power mux. the target battery is a ~3 v coin cell. proper choice of coin cell type is necessary for chosen vdd_high_in range. appropriate series resistor (rs) must be used wh en connecting the coin cell. rs depends on the charge current limit that depends on the chosen coin cell. for example, for panasonic ml621: ? average discharge voltage is 2.5 v ? maximum charge current is 0.6 ma for a charge voltage of 3.2 v, rs = (3.2-2.5)/0.6 m = 1.17 k note always refer to the chosen coin cell manufacturer's data sh eet for the latest information. table 21. osc32k main characteristics parameter min typ max comments fosc ? 32.768 khz ? this frequency is nominal and dete rmined mainly by the crystal selected. 32.0 k would work as well. current consumption ?4 ? a ? the typical value shown is only for the oscillator, driven by an external crystal. if the internal ring oscillator is used instead of an external crystal, then approximately 25 ? a should be added to this value.
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 36 freescale semiconductor electrical characteristics 4.6 i/o dc parameters this section includes the dc parame ters of the following i/o types: ? general purpose i/o (gpio) ? double data rate i/o (ddr) for lpddr2 and ddr3/ddr3l modes ? lvds i/o ?mlb i/o note the term ?ovdd? in this section refers to the associated supply rail of an input or output. figure 3. circuit for parameters voh and vol for i/o cells bias resistor ? 14 m ? ? this the integrated bias resistor that sets the amplifier into a high gain state. any leakage through the esd network, external board leakage, or even a scope probe that is significant relative to this value will debias the amplifier. the debiasing will result in low gain, and will impact the circuit's ability to start up and maintain oscillations. target crystal properties cload ? 10 pf ? usually crystals can be purchased tuned for different cloads. this cload value is typically 1/2 of the capacitances realized on the pcb on either side of the quartz. a higher cload will decrease oscillation margin, but increases current oscillating through the crystal. esr ? 50 k ? 100 k ? equivalent series resistance of the crystal . choosing a crystal with a higher value will decrease the oscillating margin. table 21. osc32k main characteristics (continued) parameter min typ max comments 0 or 1 predriver pdat ovdd pad nmos (rpd) ovss voh min vol max pmos (rpu)
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 37 4.6.1 xtali and rtc_xtali (clock inputs) dc parameters table 22 shows the dc parameters for the clock inputs. 4.6.2 general purpose i/o (gpio) dc parameters table 23 shows dc parameters for gp io pads. the parameters in table 23 are guaranteed per the operating ranges in table 6 , unless otherwise noted. table 22. xtali and rtc_xtali dc parameters parameter symbol test conditions min max unit xtali high-level dc input voltage vih ? 0.8 x nvcc_pll_out nvcc_pll_ out v xtali low-level dc input voltage vil ? 0 0.2v v rtc_xtali high-level dc input voltage vih ? 0.8 x vdd_snvs_cap vdd_snvs_cap v rtc_xtali low-level dc input voltage vil ? 0 0.2v v table 23. gpio i/o dc parameters parameter symbol test conditions min max unit high-level output voltage 1 voh ioh = -0.1 ma (dse 2 = 001, 010) ioh = -1 ma (dse = 011, 100, 101, 110, 111) ovdd ? 0.15 ? v low-level output voltage 1 vol iol = 0.1 ma (dse 2 = 001, 010) iol = 1ma (dse = 011, 100, 101, 110, 111) ?0.15v high-level dc input voltage 1, 3 vih ? 0.7 ? ovdd ovdd v low-level dc input voltage 1, 3 vil ? 0 0.3 ? ovdd v input hysteresis vhys ovdd = 1.8 v ovdd = 3.3 v 0.25 ? v schmitt trigger vt+ 3, 4 vt+ ? 0.5 ? ovdd ? v schmitt trigger vt? 3, 4 vt? ? ? 0.5 ? ovdd v input current (no pull-up/down) iin vin = ovdd or 0 -1 1 ? a input current (22 k ? pull-up) iin vin = 0 v vin = ovdd ?212 1 ? a input current (47 k ? pull-up) iin vin = 0 v vin = ovdd ?100 1 ? a input current (100 k ? pull-up) iin vin = 0 v vin= ovdd ?48 1 ? a input current (100 k ? pull-down) iin vin = 0 v vin = ovdd ?1 48 ? a keeper circuit resistance rkeep vin = 0.3 x ovdd vin = 0.7 x ovdd 105 175 k ?
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 38 freescale semiconductor electrical characteristics 4.6.3 ddr i/o dc parameters the ddr i/o pads support lpddr2 and ddr3/ddr3l operational modes. 4.6.3.1 lpddr2 mode i/o dc parameters the lpddr2 interface mode fully complies with jesd209-2b lpddr2 jedec standard release june, 2009. the parameters in table 24 are guaranteed per th e operating ranges in table 6 , unless otherwise noted. 4.6.3.2 ddr3/ddr3l mode i/o dc parameters the ddr3/ddr3l interface mode fu lly complies with jesd79-3d ddr3 jedec standard release april, 2008. the parameters in table 25 are guaranteed per th e operating ranges in table 6 , unless otherwise noted. 1 overshoot and undershoot conditi ons (transitions above ovdd and below gnd) on switching pads must be held below 0.6 v, and the duration of the oversh oot/undershoot must not exceed 10% of the system clock cycle. overshoot/ undershoot must be controlled through printed circuit board layout, transmission line impedance matching, signal line termination, or other method s. non-compliance to this specification may affect devi ce reliability or cause permanent damage to the device. 2 dse is the drive strength field setting in the associated iomux control register. 3 to maintain a valid level, the transition edge of the input must sustain a constant slew rate (monotonic) from the current dc level through to the target dc level, vil or vih. mo notonic input transition time is from 0.1 ns to 1 s. 4 hysteresis of 250 mv is guaranteed over all op erating conditions when hysteresis is enabled. table 24. lpddr2 i/o dc electrical parameters 1 1 note that the jedec lpddr2 specification (jesd209_ 2b) supersedes any specification in this document. parameters symbol test conditions min max unit high-level output voltage voh ioh = -0.1 ma 0.9 ? ovdd ? v low-level output voltage vol iol = 0.1 ma ? 0.1 ? ovdd v input reference voltage vref ? 0.49 ? ovdd 0.51 ? ovdd dc input high voltage vih(dc) ? vref+0.13v ovdd v dc input low voltage vil(dc) ? ovss vref-0.13v v differential input logic high vih(diff) ? 0.26 see note 2 2 the single-ended signals need to be within the respective limits (vih(dc) max, vil(dc) min) for single-ended signals as well as the limitations for overshoot and undershoot (see ta b l e 3 0 ). ? differential input logic low vil(diff) ? see note 2 -0.26 ? input current (no pull-up/down) iin vin = 0 or ovdd -2.5 2.5 ? a pull-up/pull-down impedance mismatch mmpupd ? -15 +15 % 240 ? unit calibration resolution rres ? ? 10 ? keeper circuit resistance rkeep ? 110 175 k ?
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 39 4.6.4 lvds i/o dc parameters the lvds interface complies with tia/eia 644-a standard. see tia/eia standard 644-a, ?electrical characteristics of low voltage differential signaling (lvd s) interface circuits? for details. table 26 shows the low voltage differential signaling (lvds) i/o dc parameters. table 25. ddr3/ddr3l i/o dc electrical parameters parameters symbol test conditions min max unit high-level output voltage voh ioh = -0.1 ma voh (dse = 001) 0.8 ? ovdd 1 1 ovdd ? i/o power supply (1.425 v?1.575 v for ddr3 and 1.283 v?1.45 v for ddr3l) ?v ioh = -1 ma voh (for all except dse = 001) low-level output voltage vol iol = 0.1 ma vol (dse = 001) ?0.2 ? ovdd v iol = 1 ma vol (for all except dse = 001) input reference voltage vref 2 2 vref ? ddr3/ddr3l external reference voltage ?0.49 ? ovdd 0.51 ? ovdd dc input logic high vih(dc) ? vref+0.1 ovdd v dc input logic low vil(dc) ? ovss vref-0.1 v differential input logic high vih(diff) ? 0.2 see note 3 3 the single-ended signals need to be within the respective limits (v ih(dc) max, vil(dc) min) for single-ended signals as well as the limitations for overshoot and undershoot (see ta b l e 3 1 ). v differential input logic low vil(diff) ? see note 3 -0.2 v termination voltage vtt vtt tracking ovdd/2 0.49 ? ovdd 0.51 ? ovdd v input current (no pull-up/dow n) iin vin = 0 or ovdd -2.9 2.9 ? a pull-up/pull-down impedance mismatch mmpupd ?-1010 ? 240 ? unit calibration resolution rres ? ? 10 ? keeper circuit resistance rkeep ? 105 175 k ? table 26. lvds i/o dc parameters parameter symbol test conditions min max unit output differential voltage v od rload=100 ?? between padp and padn 250 450 mv output high voltage v oh i oh = 0 ma 1.25 1.6 v output low voltage v ol i ol = 0 ma 0.9 1.25 offset voltage v os ? 1.125 1.375
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 40 freescale semiconductor electrical characteristics 4.6.5 mlb 6-pin i/o dc parameters the mlb interface complies with analog interface of 6-pin differential media local bus specification version 4.1. see 6-pin differential mlb specifi cation v4.1, ?medialb 6-pi n interface electrical characteristics? for details. note the mlb 6-pin interface does not support speed mode 8192fs. table 27 shows the media local bus (mlb) i/o dc parameters. 4.7 i/o ac parameters this section includes the ac parame ters of the following i/o types: ? general purpose i/o (gpio) ? double data rate i/o (ddr) for lpddr2 and ddr3/ddr3l modes ? lvds i/o ?mlb i/o the gpio and ddr i/o load circuit and out put transition time waveforms are shown in figure 4 and figure 5 . figure 4. load circuit for output figure 5. output transition time waveform table 27. mlb i/o dc parameters parameter symbol test conditions min max unit output differential voltage v od rload = 50 ?? between padp and padn 300 500 mv output high voltage v oh 1.15 1.75 v output low voltage v ol 0.75 1.35 v common-mode output voltage ((vpad_p + vpad_n) / 2)) v ocm 11.5 v differential output impedance z o ?1.6?k ? test point from output cl cl includes package, probe and fixture capacitance under test 0v ovdd 20% 80% 80% 20% tr tf output (at pad)
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 41 4.7.1 general purpose i/o ac parameters the i/o ac parameters for gpio in slow and fast modes are presented in the table 28 and table 29 , respectively. note that the fast or slow i/o behavior is determined by the appropriate control bits in the iomuxc control registers. table 28. general purpose i/o ac parameters 1.8 v mode parameter symbol test condition min typ max unit output pad transition times, rise/fall (max drive, ipp_dse=111) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 2.72/2.79 1.51/1.54 ns output pad transition times, rise/fall (high drive, ipp_dse=101) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 3.20/3.36 1.96/2.07 output pad transition times, rise/fall (medium drive, ipp_dse=100) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 3.64/3.88 2.27/2.53 output pad transition times, rise/fall (low drive. ipp_dse=011) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 4.32/4.50 3.16/3.17 input transition times 1 1 hysteresis mode is recommended for input s with transition times greater than 25 ns. trm ? ? ? 25 ns table 29. general purpose i/o ac parameters 3.3 v mode parameter symbol test condition min typ max unit output pad transition times, rise/fall (max drive, ipp_dse=101) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 1.70/1.79 1.06/1.15 ns output pad transition times, rise/fall (high drive, ipp_dse=011) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 2.35/2.43 1.74/1.77 output pad transition times, rise/fall (medium drive, ipp_dse=010) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 3.13/3.29 2.46/2.60 output pad transition times, rise/fall (low drive. ipp_dse=001) tr, tf 15 pf cload, slow slew rate 15 pf cload, fast slew rate ?? 5.14/5.57 4.77/5.15 input transition times 1 1 hysteresis mode is recommended for inputs with transition times greater than 25 ns. trm ? ? ? 25 ns
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 42 freescale semiconductor electrical characteristics 4.7.2 ddr i/o ac parameters the lpddr2 interface mode fully complies with jesd209-2b lpddr2 jedec standard release june, 2009. the ddr3/ddr3l interface mode fully complies with jesd79-3d ddr3 je dec standard release april, 2008. table 30 shows the ac parameters for ddr i/o operating in lpddr2 mode. table 31 shows the ac parameters for ddr i/o operating in ddr3/ddr3l mode. table 30. ddr i/o lpddr2 mode ac parameters 1 1 note that the jedec lpddr2 specification (jesd209_2b ) supersedes any specification in this document. parameter symbol test condition min typ max unit ac input logic high vih(ac) ? vref + 0.22 ? ovdd v ac input logic low vil(ac) ? 0 ? vref ? 0.22 v ac differential input high voltage 2 2 vid(ac) specifies the input differential volt age |vtr ? vcp| required for switching, where vtr is the ?true? input signal and vcp is the ?complementary? input signal. the mini mum value is equal to vih(ac) ? vil(ac). vidh(ac) ? 0.44 ? ? v ac differential input low voltage vidl(ac) ? ? ? 0.44 v input ac differential cross point voltage 3 3 the typical value of vix(ac) is expected to be about 0.5 ? ovdd. and vix(ac) is expected to track variation of ovdd. vix(ac) indicates the voltage at which diff erential input signal must cross. vix(ac) relative to vref -0.12 ? 0.12 v over/undershoot peak vpeak ? ? ? 0.35 v over/undershoot area (above ovdd or below ovss) varea 533 mhz ? ? 0.3 v-ns single output slew rate, measured between vol(ac) and voh(ac) tsr 50 ?? to vref. 5 pf load. drive impedance = 4 0 ??? 30% 1.5 ? 3.5 v/ns 50 ?? to vref. 5pf load. drive impedance = 60 ??? 30% 1?2.5 skew between pad rise/fall asymmetry + skew caused by ssn t skd clk = 533 mhz ?? 0.1 ns table 31. ddr i/o ddr3/ddr3l mode ac parameters 1 parameter symbol test condition min typ max unit ac input logic high vih(ac) ? vref + 0.175 ? ovdd v ac input logic low vil(ac) ? 0 ? vref ? 0.175 v ac differential input voltage 2 vid(ac) ? 0.35 ? ? v input ac differential cross point voltage 3 vix(ac) relative to vref vref ? 0.15 ? vref + 0.15 v over/undershoot peak vpeak ? ? ? 0.4 v over/undershoot area (above ovdd or below ovss) varea 533 mhz ? ? 0.5 v-ns
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 43 4.7.3 lvds i/o ac parameters the differential output transiti on time waveform is shown in figure 6 . figure 6. differential lvds driv er transition time waveform table 32 shows the ac parameters for lvds i/o. 4.7.4 mlb 6-pin i/o ac parameters the differential output transiti on time waveform is shown in figure 7 . single output slew rate, measured between vol(ac) and voh(ac) tsr driver impedance = 34 ? 2.5 ? 5 v/ns skew between pad rise/fall asymmetry + skew caused by ssn t skd clk = 533 mhz ?? 0.1 ns 1 note that the jedec jesd79_3c specification supersedes any spec ification in this document. 2 vid(ac) specifies the input diff erential voltage |vtr-vcp| required for switching, where vtr is the ?true? input signal and vcp is the ?complementary? input signal. the mini mum value is equal to vih(ac) ? vil(ac). 3 the typical value of vix(ac) is expected to be about 0.5 ? ovdd. and vix(ac) is expected to track variation of ovdd. vix(ac) indicates the voltage at which differential input signal must cross. table 32. i/o ac parameters of lvds pad parameter symbol test condition min typ max unit differential pulse skew 1 1 t skd = | t phld ?t plhd |, is the magnitude difference in differential propa gation delay time between the positive going edge and the negative going edge of the same channel. t skd rload = 100 ? , cload = 2 pf ? ? 0.25 ns transition low to high time 2 2 measurement levels are 20?80% from output voltage. t tlh ??0.5 transition high to low time 2 t thl ??0.5 operating frequency f ? ? 600 800 mhz offset voltage imbalance vos ? ? ? 150 mv table 31. ddr i/o ddr3/ddr3l mode ac parameters 1 (continued) parameter symbol test condition min typ max unit padp padn vdiff 0v (differential) vdiff = {padp} - {padn} t tlh 20% 80% 20% 80% t thl v oh v ol 0v 0v 0v
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 44 freescale semiconductor electrical characteristics figure 7. differential mlb driver transition time waveform a 4-stage pipeline is utilized in the mlb 6-pin im plementation in order to fa cilitate design, maximize throughput, and allow for reasonable pcb trace lengths . each cycle is one ipp_clk_in* (internal clock from mlb pll) clock period. cycles 2, 3, and 4 are mlb phy related. cycle 2 includes clock-to-output delay of signal/data sampling flip-flop and transm itter, cycle 3 includes cl ock-to-output delay of signal/data clocked receiver, cycl e 4 includes clock-to-output delay of signal/data sampling flip-flop. mlb 6-pin pipeline di agram is shown in figure 8 . figure 8. mlb 6-pin pipeline diagram table 33 shows the ac parameters for mlb i/o. table 33. i/o ac parameters of mlb phy parameter symbol test condition min typ max unit differential pulse skew 1 1 t skd = | t phld ?t plhd |, is the magnitude difference in differential propa gation delay time between the positive going edge and the negative going edge of the same channel. t skd rload = 50 ? between padp and padn ?? 0.1 ns transition low to high time 2 2 measurement levels are 20- 80% from output voltage. t tlh ?? 1 transition high to low time t thl ?? 1 mlb external clock operating frequency fclk_ext ? ? ? 102.4 mhz mlb pll clock operating frequency fclk_pll ? ? ? 307.2 mhz padp padn vdiff 0v (differential) vdiff = {padp} - {padn} t tlh 20% 80% 20% 80% t thl v oh v ol 0v 0v 0v
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 45 4.8 output buffer impedance parameters this section defines the i/o impeda nce parameters of the i.mx 6dual/ 6quad processors for the following i/o types: ? general purpose i/o (gpio) ? double data rate i/o (ddr) for lpddr2, and ddr3 modes ? lvds i/o ?mlb i/o note gpio and ddr i/o output driver imp edance is measured with ?long? transmission line of impeda nce ztl attached to i/o pad and incident wave launched into transmission line. rpu/rpd and ztl form a volta ge divider that defines specific voltage of incident wave relative to ovdd. output driver impedance is calculated from this voltage divider (see figure 9 ).
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 46 freescale semiconductor electrical characteristics figure 9. impedance matching load for measurement ipp_do cload = 1p ztl ? , l = 20 inches predriver pmos (rpu) nmos (rpd) pad ovdd ovss t,(ns) u,(v) ovdd t,(ns) 0 vdd vin (do) vout (pad) u,(v) vref rpu = vovdd ? vref1 vref1 ? ztl rpd = ? ztl vref2 vovdd ? vref2 vref1 vref2 0
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 47 4.8.1 gpio output buffer impedance table 34 shows the gpio output buffer impedance (ovdd 1.8 v). table 35 shows the gpio output buffer impedance (ovdd 3.3 v). table 34. gpio output buffer average impedance (ovdd 1.8 v) parameter symbol drive streng th (ipp_dse) typ value unit output driver impedance rdrv 001 010 011 100 101 110 111 260 130 90 60 50 40 33 ? table 35. gpio output buffer average impedance (ovdd 3.3 v) parameter symbol drive streng th (ipp_dse) typ value unit output driver impedance rdrv 001 010 011 100 101 110 111 150 75 50 37 30 25 20 ?
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 48 freescale semiconductor electrical characteristics 4.8.2 ddr i/o output buffer impedance the lpddr2 interface fully complies with jesd 209-2b lpddr2 jedec standard release june, 2009. the ddr3 interface fully complies with jesd79- 3d ddr3 jedec standard release april, 2008. table 36 shows ddr i/o output buf fer impedance of i.mx 6dual/6quad processors. note: 1. output driver impedanc e is controlled across pvts using zq calibration procedure. 2. calibration is done against 240 w external reference resistor. 3. output driver impedance devi ation (calibration accuracy) is 5% (max/min impedance) across pvts. 4.8.3 lvds i/o output buffer impedance the lvds interface complies with tia/eia 644-a standard. see, tia/eia standard 644-a, ?electrical characteristics of low voltage differential signaling (lvd s) interface circuits? for details. 4.8.4 mlb 6-pin i/o differential output impedance table 37 shows mlb 6-pin i/o differential output im pedance of i.mx 6dual/6quad processors. table 36. ddr i/o output buffer impedance parameter symbol test conditions typical unit nvcc_dram=1.5 v (ddr3) ddr_sel=11 nvcc_dram=1.2 v (lpddr2) ddr_sel=10 output driver impedance rdrv drive strength (dse) = 000 001 010 011 100 101 110 111 hi-z 240 120 80 60 48 40 34 hi-z 240 120 80 60 48 40 34 ? table 37. mlb 6-pin i/o differential output impedance parameter symbol test conditions min typ max unit differential output impedance z o ?1.6??k ?
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 49 4.9 system modules timing this section contains the timing and electrical para meters for the modules in each i.mx 6dual/6quad processor. 4.9.1 reset timing parameters figure 10 shows the reset timing and table 38 lists the timing parameters. figure 10. reset timing diagram 4.9.2 wdog reset timing parameters figure 11 shows the wdog reset timing and table 39 lists the timing parameters. figure 11. wdog1_b timing diagram note xtalosc_rtc_xtali is approximately 32 khz. xtalosc_rtc_xtali cycle is one period or approximately 30 ? s. note wdog1_b output signals (for each one of the watchdog modules) do not have dedicated pins, but are muxed out through the iomux. see the iomux manual for detailed information. table 38. reset timing parameters id parameter min max unit cc1 duration of src_por_b to be qualified as valid (input slope <= 5 ns) 1 ? xtalosc_rtc_ xtali cycle table 39. wdog1_b timing parameters id parameter min max unit cc3 duration of wdog1_b assert ion 1 ? xtalosc_rtc_ xtali cycle src_por_b cc1 (input) wdog1_b cc3 (output)
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 50 freescale semiconductor electrical characteristics 4.9.3 external interface module (eim) the following subsections pr ovide information on the eim. 4.9.3.1 eim interface pads allocation eim supports 32-bit, 16-bit and 8-bit devices operating in address/data separate or multiplexed modes. table 40 provides eim interface pads al location in different modes. table 40. eim internal module multiplexing 1 1 for more information on configuration ports mentioned in this table, see the i.mx 6dual/6quad reference manual (imx6dqrm). setup non multiplexed address/data mode multiplexed address/data mode 8 bit 16 bit 32 bit 16 bit 32 bit mum = 0, dsz = 100 mum = 0, dsz = 101 mum = 0, dsz = 110 mum = 0, dsz = 111 mum = 0, dsz = 001 mum = 0, dsz = 010 mum = 0, dsz = 011 mum = 1, dsz = 001 mum = 1, dsz = 011 eim_addr [15:00] eim_ad [15:00] eim_ad [15:00] eim_ad [15:00] eim_ad [15:00] eim_ad [15:00] eim_ad [15:00] eim_ad [15:00] eim_ad [15:00] eim_ad [15:00] eim_addr [25:16] eim_addr [25:16] eim_addr [25:16] eim_addr [25:16] eim_addr [25:16] eim_addr [25:16] eim_addr [25:16] eim_addr [25:16] eim_addr [25:16] eim_data [09:00] eim_data [07:00], eim_eb0_b eim_data [07:00] ???eim_data [07:00] ?eim_data [07:00] eim_ad [07:00] eim_ad [07:00] eim_data [15:08], eim_eb1_b ?eim_data [15:08] ??eim_data [15:08] ?eim_data [15:08] eim_ad [15:08] eim_ad [15:08] eim_data [23:16], eim_eb2_b ??eim_data [23:16] ??eim_data [23:16] eim_data [23:16] ?eim_data [07:00] eim_data [31:24], eim_eb3_b ???eim_data [31:24] ?eim_data [31:24] eim_data [31:24] ?eim_data [15:08]
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 51 4.9.3.2 general eim timing-synchronous mode figure 12 , figure 13 , and table 41 specify the timings related to the eim module. all ei m output control signals may be asserted and deasserted by an intern al clock synchronized to the eim_bclk rising edge according to corresponding assert ion/negation control fields. figure 12. eim output timing diagram figure 13. eim input timing diagram 4.9.3.3 examples of ei m synchronous accesses table 41. eim bus timing parameters id parameter min 1 max 1 unit we1 eim_bclk cycle time 2 t ? (k+1) ? ns we2 eim_bclk high level width 0.4 ? t ? (k+1) ? ns we3 eim_bclk low level width 0.4 ? t ? (k+1) ? ns we4 eim_addrxx eim_csx_b eim_we_b eim_oe_b eim_bclk eim_ebx_b eim_lba_b output data ... we5 we6 we7 we8 we9 we10 we11 we12 we13 we14 we15 we16 we17 we3 we2 we1 input data eim_wait_b eim_bclk we19 we18 we21 we20
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 52 freescale semiconductor electrical characteristics we4 clock rise to address valid ? -0.5 ? t ? (k+1)/2+2.25 ns we5 clock rise to address invalid 0.5 ? t ? (k+1)/2-1.25 ? ns we6 clock rise to eim_csx_b valid ? -0.5 ? t ? (k+1)/2+2.25 ns we7 clock rise to eim_csx_b invalid 0.5 ? t ? (k+1)/2-1.25 ? ns we8 clock rise to eim_we_b valid ? -0.5 ? t ? (k+1)/2+2.25 ns we9 clock rise to eim_we_b invalid 0.5 ? t ? (k+1)/2-1.25 ? ns we10 clock rise to eim_oe_b valid ? -0.5 ? t ? (k+1)/2+2.25 ns we11 clock rise to eim_oe_b invalid 0.5 ? t ? (k+1)/2-1.25 ? ns we12 clock rise to eim_ebx_b valid ? -0.5 ? t ? (k+1)/2+2.25 ns we13 clock rise to eim_ebx_b invalid 0.5 ? t ? (k+1)/2-1.25 ? ns we14 clock rise to eim_lba_b valid ? -0.5 ? t ? (k+1)/2+2.25 ns we15 clock rise to eim_lba_b invalid 0.5 ? t ? (k+1)/2-1.25 ? ns we16 clock rise to output data valid ? -(k+1) ? t/2+2.75 ns we17 clock rise to output data invalid (k+1) ? t/2-1.25 ? ns we18 input data setup time to clock rise 2.3 ? ns we19 input data hold time from clock rise 2 ? ns we20 eim_wait_b setup time to clock rise 2 ? ns we21 eim_wait_b hold time from clock rise 2 ? ns 1 k represents bcd value 2 eim maximum operating frequency is 104 mhz (t = 9.165 ns) table 41. eim bus timing parameters (continued) id parameter min 1 max 1 unit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 53 figure 14 to figure 17 provide few examples of ba sic eim accesses to external memory devices with the timing parameters mentioned previously fo r specific control parameters settings. figure 14. synchronous memory read access, wsc=1 figure 15. synchronous memory, write access, wsc=1, wbea=0 and wadvn=0 last valid address address v1 d(v1) eim_bclk eim_addrxx eim_dataxx eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b we4 we5 we6 we7 we11 we13 we12 we14 we15 we18 we19 we6 we10 last valid address address v1 d(v1) eim_bclk eim_addrxx eim_dataxx eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b we4 we5 we6 we7 we8 we9 we12 we13 we14 we15 we16 we17
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 54 freescale semiconductor electrical characteristics figure 16. muxed address/data (a/d) mode, synchronous write access, wsc=6,adva=0, advn=1, and adh=1 note in 32-bit muxed address/data (a/d) mode the 16 msbs are driven on the data bus. figure 17. 16-bit muxed a/d mode, synchronous read access, wsc=7, radvn=1, adh=1, oea=0 eim_bclk eim_addrxx/ eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b address v1 write data eim_adxx we4 we16 we6 we7 we9 we8 we10 we11 we14 we15 we17 we5 last address valid last eim_bclk eim_addrxx/ eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b address v1 data address eim_adxx we5 we6 we7 we14 we15 we10 we11 we12 we13 we18 we19 we4 valid
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 55 4.9.3.4 general eim timing-asynchronous mode figure 18 through figure 22 and table 42 provide timing parameters relative to the chip select (cs) state for asynchronous and dtack eim accesses with corresponding eim bit fi elds and the timing parameters mentioned above. asynchronous read & write access length in cy cles may vary from what is shown in figure 18 through figure 21 as rwsc, oen & csn is configured different ly. see the i.mx 6dual/ 6quad reference manual (imx6dqrm) for the eim programming model. figure 18. asynchronous memory read access (rwsc = 5) last valid address address v1 d(v1) eim_addrxx/ eim_data[07:00] eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b next address we39 we35 we37 we32 we36 we38 we43 we40 we31 we44 int_clk start of access end of access maxdi maxcso maxco eim_adxx
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 56 freescale semiconductor electrical characteristics figure 19. asynchronous a/d muxed read access (rwsc = 5) figure 20. asynchronous memory write access addr. v1 d(v1) eim_addrxx/ eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b we39 we35a we37 we36 we38 we40a we31 we44 int_clk start of access end of access maxdi maxcso maxco we32a eim_adxx last valid address address v1 d(v1) eim_addrxx eim_dataxx eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b next address we31 we39 we33 we45 we32 we40 we34 we46 we42 we41
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 57 figure 21. asynchronous a/d muxed write access figure 22. dtack mode read access (dap=0) eim_we_b eim_oe_b eim_ebx_b eim_csx_b we33 we45 we34 we46 addr. v1 d(v1) eim_addrxx/ we31 we42 we41a we32a eim_dataxx eim_lba_b we39 we40a last valid address address v1 d(v1) eim_addrxx eim_dataxx[07:00] eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b next address we39 we35 we37 we32 we36 we38 we43 we40 we31 we44 we47 we48 eim_dtack_b
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 58 freescale semiconductor electrical characteristics figure 23. dtack mode write access (dap=0) table 42. eim asynchronous timing parameters relative chip select 1 , 2 ref no. parameter determination by synchronous measured parameters min max (if 132 mhz is supported by soc) unit we31 eim_csx_b valid to address valid we4 - we6 - csa ? 3 - csa ns we32 address invalid to eim_csx_b invalid we7 - we5 - csn ? 3 - csn ns we32a (muxed a/d) eim_csx_b valid to address invalid t + we4 - we7 + (advn + adva + 1 - csa) -3 + (advn + adva + 1 - csa) ?ns we33 eim_csx_b valid to eim_we_b valid we8 - we6 + (wea - wcsa) ? 3 + (wea - wcsa) ns we34 eim_we_b invalid to eim_csx_b invalid we7 - we9 + (wen - wcsn) ? 3 - (wen_wcsn) ns we35 eim_csx_b valid to eim_oe_b valid we10 - we6 + (oea - rcsa) ? 3 + (oea - rcsa) ns we35a (muxed a/d) eim_csx_b valid to eim_oe_b valid we10 - we6 + (oea + radvn + radva + adh + 1 - rcsa) -3 + (oea + radvn+radva +adh+1-rcsa) 3 + (oea + radvn+radva+ad h+1-rcsa) ns we36 eim_oe_b invalid to eim_csx_b invalid we7 - we11 + (oen - rcsn) ? 3 - (oen - rcsn) ns we37 eim_csx_b valid to eim_ebx_b valid (read access) we12 - we6 + (rbea - rcsa) ? 3 + (rbea - rcsa) ns we38 eim_ebx_b invalid to eim_csx_b invalid (read access) we7 - we13 + (rben - rcsn) ? 3 - (rben - rcsn) ns we39 eim_csx_b valid to eim_lba_b valid we14 - we6 + (adva - csa) ? 3 + (adva - csa) ns last valid address address v1 d(v1) eim_addrxx eim_dataxx eim_we_b eim_lba_b eim_oe_b eim_ebx_b eim_csx_b next address we31 we39 we33 we45 we32 we40 we34 we46 we42 we41 eim_dtack_b we47 we48
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 59 we40 eim_lba_b invalid to eim_csx_b invalid (advl is asserted) we7 - we15 - csn ? 3 - csn ns we40a (muxed a/d) eim_csx_b valid to eim_lba_b invalid we14 - we6 + (advn + adva + 1 - csa) -3 + (advn + adva + 1 - csa) 3 + (advn + adva + 1 - csa) ns we41 eim_csx_b valid to output data valid we16 - we6 - wcsa ? 3 - wcsa ns we41a (muxed a/d) eim_csx_b valid to output data valid we16 - we6 + (wadvn + wadva + adh + 1 - wcsa) ? 3 + (wadvn + wadva + adh + 1 - wcsa) ns we42 output data invalid to eim_csx_b invalid we17 - we7 - csn ? 3 - csn ns maxco output maximum delay from internal driving eim_addrxx/control flip-flops to chip outputs. 10 ? ? ns maxcso output maximum delay from internal chip selects driving flip-flops to eim_csx_b out. 10 ? ? maxdi eim_dataxx maximum delay from chip input data to its internal flip-flop 5?? we43 input data valid to eim_csx_b invalid maxco - maxcso + maxdi maxco - maxcso + maxdi ?ns we44 eim_csx_b invalid to input data invalid 00?ns we45 eim_csx_b valid to eim_ebx_b valid (write access) we12 - we6 + (wbea - wcsa) ? 3 + (wbea - wcsa) ns we46 eim_ebx_b invalid to eim_csx_b invalid (write access) we7 - we13 + (wben - wcsn) ? -3 + (wben - wcsn) ns maxdti maximum delay from eim_dtack_b input to its internal flip-flop + 2 cycles for synchronization 10 ? ? ? we47 eim_dtack_b active to eim_csx_b invalid maxco - maxcso + maxdti maxco - maxcso + maxdti ?ns we48 eim_csx_b invalid to eim_dtack_b invalid 00?ns 1 for more information on configuration para meters mentioned in this table, see the i.mx 6solo/6duallite reference manual (imx6dqrm). table 42. eim asynchronous timing parameters relative chip select 1 , 2 (continued) ref no. parameter determination by synchronous measured parameters min max (if 132 mhz is supported by soc) unit
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 60 freescale semiconductor electrical characteristics 4.9.4 ddr sdram specific parame ters (ddr3/ddr3l and lpddr2) 4.9.4.1 ddr3/ddr3l parameters figure 24 shows the ddr3/ddr3l basic timing diagram. th e timing parameters for this diagram appear in table 43 . figure 24. ddr3/ddr3l command and address timing diagram 2 in this table: ? csa means wcsa when write operation or rcsa when read operation ? csn means wcsn when write operation or rcsn when read operation ? t means axi_clk cycle time ? advn means wadvn when write operation or radvn when read operation ? adva means wadva when write operation or radva when read operation table 43. ddr3/ddr3l timing parameter table id parameter symbol ck = 532 mhz unit min max ddr1 dram_sdclkx_p clock high-level width t ch 0.47 0.53 t ck ddr2 dram_sdclkx_p clock low-level width t cl 0.47 0.53 t ck dram_sdclkx_p dram_sdwe_b dram_addrxx row/ba col/ba dram_csx_b dram_cas_b dram_ras_b ddr1 ddr2 ddr4 ddr4 ddr4 ddr5 ddr5 ddr5 ddr5 ddr6 ddr7 dram_sdclkx_n dram_odtx/ ddr4 dram_sdckex
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 61 1 all measurements are in reference to vref level. 2 measurements were done using balanced load and 25 ? resistor from outputs to dram_vref. figure 25 shows the ddr3/ddr3l write timing diagram. th e timing parameters for this diagram appear in table 44 . figure 25. ddr3/ddr3l write cycle ddr4 dram_csx_b, dram_ras_b, dram_cas_b, dram_sdckex, dram_sdwe_b, dram_odtx setup time t is 500 ? ps ddr5 dram_csx_b, dram_ras_b, dram_cas_b, dram_sdckex, dram_sdwe_b, dram_odtx hold time t ih 400 ? ps ddr6 address output setup time t is 500 ? ps ddr7 address output hold time t ih 400 ? ps table 44. ddr3/ddr3l write cycle id parameter symbol ck = 532 mhz unit min max ddr17 dram_dataxx and dram_dqmx setup ti me to dram_sdqsx_p (differential strobe) t ds 240 ? ps ddr18 dram_dataxx and dram_dqmx hold time to dram_sdqsx_p (differential strobe) t dh 240 ? ps ddr21 dram_sdqsx_p latching rising transitions to associated clock edges t dqss -0.25 +0.25 tck table 43. ddr3/ddr3l timing parameter table (continued) id parameter symbol ck = 532 mhz unit min max dram_sdclkx_p dram_sdclkx_n dram_sdqsx_p (output) dram_dataxx (output) dram_dqmx (output) data data data data data data data data dm dm dm dm dm dm dm dm ddr17 ddr17 ddr17 ddr17 ddr18 ddr18 ddr18 ddr18 ddr21 ddr23 ddr22
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 62 freescale semiconductor electrical characteristics 1 to receive the reported setup and hold values, write calibration should be performed in order to locate the dram_sdqsx_p in the middle of dram_dataxx window. 2 all measurements are in reference to vref level. 3 measurements were taken using balanced load and 25 ? resistor from outputs to dram_vref. figure 26 shows the ddr3/ddr3l read timing diagram. th e timing parameters for this diagram appear in table 45 . figure 26. ddr3/ddr3l read cycle 1 to receive the reported setup and hold values, read calibration should be performed in order to locate the dram_sdqsx_p in the middle of dram_dataxx window. 2 all measurements are in reference to vref level. 3 measurements were done using balanced load and 25 ? resistor from outputs to dram_vref. ddr22 dram_sdqsx_p high level width t dqsh 0.45 0.55 tck ddr23 dram_sdqsx_p low level width t dqsl 0.45 0.55 tck table 45. ddr3/ddr3l read cycle id parameter symbol ck = 532 mhz unit min max ddr26 minimum required dram_dataxx valid window width ? 450 ? ps table 44. ddr3/ddr3l write cycle (continued) id parameter symbol ck = 532 mhz unit min max dram_sdclkx_p dram_sdclkx_n dram_sdqsx_p(input) dram_dataxx (input) data data data data data data data data ddr26
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 63 4.9.4.2 lpddr2 parameters figure 27 shows the lpddr2 basic timing diagram. the tim ing parameters for this diagram appear in table 46 . figure 27. lpddr2 command and address timing diagram 1 all measurements are in reference to vref level. 2 measurements were done using balanced load and 25 ? resistor from outputs to dram_vref. table 46. lpddr2 timing parameter id parameter symbol ck = 532 mhz unit min max lp1 dram_sdclkx_p clock high-level width t ch 0.45 0.55 t ck lp2 dram_sdclkx_p clock low-level width t cl 0.45 0.55 t ck lp3 dram_csx_b, dram_addrxx setup time t is 270 ? ps lp4 dram_csx_b, dram_addrxx hold time t ih 270 ? ps lp3 dram_addrxx setup time t is 230 ? ps lp4 dram_addrxx hold time t ih 230 ? ps dram_sdclkx_p dram_csx_b dram__sdckex dram_adrxx lp4 lp4 lp3 lp4 lp3 lp2 lp3 lp1 lp3
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 64 freescale semiconductor electrical characteristics figure 28 shows the lpddr2 write timing diagram. the tim ing parameters for this diagram appear in table 47 . figure 28. lpddr2 write cycle 1 to receive the reported setup and hold values, write calibration should be performed in order to locate the dram_sdqsx_p in the middle of dram_dataxx window. 2 all measurements are in reference to vref level. 3 measurements were done using balanced load and 25 ? resistor from outputs to dram_vref. table 47. lpddr2 write cycle id parameter symbol ck = 532 mhz unit min max lp17 dram_dataxx and dram_dqmx setup time to dram_sdqsx_p (differential strobe) t ds 235 ? ps lp18 dram_dataxx and dram_dqmx hold time to dram_sdqsx_p (differential strobe) t dh 235 ? ps lp21 dram_sdqsx_p latching rising transitions to associated clock edges t dqss -0.25 +0.25 tck lp22 dram_sdqsx_p high level width t dqsh 0.4 ? tck lp23 dram_sdqsx_p low level width t dqsl 0.4 ? tck dram_sdclkx_p dram_sdclkx_n dram_sdqsx_p (output) dram_dataxx (output) dram_dqmx (output) data data data data data data data data dm dm dm dm dm dm dm dm lp17 lp17 lp17 lp17 lp18 lp18 lp18 lp18 lp21 lp23 lp22
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 65 figure 29 shows the lpddr2 read timing diagram. the ti ming parameters for this diagram appear in table 48 . figure 29. lpddr2 read cycle 1 to receive the reported setup and hold values, read calibration should be performed in order to locate the dram_sdqsx_p in the middle of dram_dataxx window. 2 all measurements are in reference to vref level. 3 measurements were done using balanced load and 25 ? resistor from outputs to dram_vref. 4.10 general-purpose media interface (gpmi) timing the i.mx 6dual/6quad gpmi controll er is a flexible interface nand flash controller with 8-bit data width, up to 200 mb/s i/o speed and individual chip select. it supports as ynchronous timing mode, source synchronous timing mode, and samsung toggle timing mode separate ly described in the following subsections. table 48. lpddr2 read cycle id parameter symbol ck = 532 mhz unit min max lp26 minimum required dram_dataxx valid window width for lpddr2 ? 250 ? ps dram_sdclkx_p dram_sdclkx_n dram_sdqsx_p (input) dram_dataxx (input) data data data data data data data data lp26
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 66 freescale semiconductor electrical characteristics 4.10.1 asynchronous mode ac ti ming (onfi 1.0 compatible) asynchronous mode ac timings are provided as multipli cations of the clock cycle and fixed delay. the maximum i/o speed of gpmi in asynchronous mode is about 50 mb/s. figure 30 through figure 33 depict the relative timing between gpmi signals at the module level for different operations under asynchronous mode. table 49 describes the timing para meters (nf1?nf17) that are shown in the figures. figure 30. command latch cycle timing diagram figure 31. address latch cycle timing diagram figure 32. write data latch cycle timing diagram     }uuv       .!.$?#,% .!.$?#%?" .!.$?7%?" .!.$?!,% .!.$?$!4!xx  e&? e&? e& e& e&? e&? e& e&? e&e     ??? e& e&  e&? e&? e&  e&  e&?  e&  e&?  .!.$?#,% .!.$?#%?" .!.$?7%?" .!.$?!,% eezd??     ??}e& e& e&  e&   e& e&?  e&  e&?  .!.$?#,% .!.$?#%?" .!.$?7%?" .!.$?!,% .!.$?$!4!xx e&? e&?
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 67 figure 33. read data latch cycle timing diagram (non-edo mode) figure 34. read data latch cycle timing diagram (edo mode) table 49. asynchronous mode timing parameters 1 id parameter symbol timing t = gpmi clock cycle unit min max nf1 nand_cle setup time tcls (as + ds) ? t - 0.12 [see 2,3 ]ns nf2 nand_cle hold time tclh dh ? t - 0.72 [see 2 ]ns nf3 nand_cex_b setup time tcs (as + ds + 1) ? t [see 3,2 ]ns nf4 nand_cex_b hold time tch (dh+1) ? t - 1 [see 2 ]ns nf5 nand_we_b pulse width twp ds ? t [see 2 ]ns nf6 nand_ale setup time tals (as + ds) ? t - 0.49 [see 3,2 ]ns nf7 nand_ale hold time talh (dh ? t - 0.42 [see 2 ]ns nf8 data setup time tds ds ? t - 0.26 [see 2 ]ns nf9 data hold time tdh dh ? t - 1.37 [see 2 ]ns nf10 write cycle time twc (ds + dh) ? t [see 2 ]ns nf11 nand_we_b hold time twh dh ? t [see 2 ]ns nf12 ready to nand_re_b low trr 4 (as + 2) ? t [see 3,2 ]?ns nf13 nand_re_b pulse width trp ds ? t [see 2 ]ns nf14 read cycle time trc (ds + dh) ? t [see 2 ]ns nf15 nand_re_b high hold time treh dh ? t [see 2 ]ns      ?(?}ue& e&e e&?  e& e& e&?  e&?  .!.$?#,% .!.$?#%?" .!.$?2%?" .!.$?2%!$9?" .!.$?$!4!xx     ?(?}ue&  e&e e&?  e&  e& e&?  e&?  .!.$?#,% .!.$?#%?" .!.$?2%?" .!.$?2%!$9?" eezd??
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 68 freescale semiconductor electrical characteristics in edo mode ( figure 34 ), nf16/nf17 are different from the definition in non-edo mode ( figure 33 ). they are called trea/trhoh (nand_re_b acce ss time/nand_re_b high to output hold). the typical value for them ar e 16 ns (max for trea)/15 ns (min for trhoh) at 50 mb/s edo mode. in edo mode, gpmi will sample nand_dataxx at risi ng edge of delayed nand_re_b provided by an internal dpll. the delay value can be controlle d by gpmi_ctrl1.rdn_delay (see the gpmi chapter of the i.mx 6dual/6quad reference manual (imx6dqrm)). the typical value of this control register is 0x8 at 50 mt/s edo mode. however, if the board delay is large enough and cannot be ignored, the delay value should be made larger to compensate the board delay. nf16 data setup on read tdsr ? (ds ? t -0.67)/18.38 [see 5,6 ]ns nf17 data hold on read tdhr 0.82/11.83 [see 5,6 ]?ns 1 the gpmi asynchronous mode output timing can be controlled by the module?s internal registers hw_gpmi_timing0_address_ setup, hw_gpmi_timing0_ data_setup, and hw_gpm i_timing0_data_hold. this ac timing depends on these registers settings. in the table, as/ds/dh represents each of these settings. 2 as minimum value can be 0, while ds/dh minimum value is 1. 3 t = gpmi clock period -0.075ns (half of maximum p-p jitter). 4 nf12 is met automatically by the design. 5 non-edo mode. 6 edo mode, gpmi clock ? 100 mhz (as=ds=dh=1, gpmi_ctl1 [rdn_delay] = 8, gpmi_ctl1 [half_period] = 0). table 49. asynchronous mode timing parameters 1 (continued) id parameter symbol timing t = gpmi clock cycle unit min max
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 69 4.10.2 source synchronous mode ac timing (onfi 2.x compatible) figure 35 shows the write and read ti ming of source synchronous mode. figure 35. source synchronous mode command and address timing diagram 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) &0' $'' .!.$?#%?" 1$1'b&/( 1$1'b$/( 1$1'b:(5(b% 1$1'b&/. 1$1'b'46 1$1'b'46 2xwsxwhqdeoh 1$1'b'$7$>@ 1$1'b'$7$>@ 2xwsxwhqdeoh
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 70 freescale semiconductor electrical characteristics figure 36. source synchronous mode data write timing diagram figure 37. source synchronous mode data read timing diagram 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) .!.$?#%?" .!.$?#,% .!.$?!,% 1$1'b:(5(b% .!.$?#,+ .!.$?$13 .!.$?$13 2xwsxwhqdeoh .!.$?$1;= .!.$?$1;= 2xwsxwhqdeoh 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) 1) .!.$?#%?" .!.$?#,% 1$1'b$/( .!.$?7%2% .!.$?#,+ .!.$?$13 .!.$?$13 /utputenable .!.$?$!4!;= .!.$?$!4!;= /utputenable 1)
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 71 figure 38. nand_dqs/nand_dq read valid window figure 38 shows the timing diagram of nand_dqs/nand_dataxx read valid window. for source synchronous mode, the typical value of tdqsq is 0.85 ns (max) and 1 ns (max) for tqhs at 200mb/s. gpmi will sample nand_data[7:0] at both rising a nd falling edge of a delayed nand_dqs signal, which can be provided by an internal dpll. the de lay value can be controlled by gpmi register gpmi_read_ddr_dll_ctrl.slv_dly_target (s ee the gpmi chapter of the i.mx 6dual/6quad reference manual (imx6dqr m)). generally, the typical delay value of this register is equal to 0x7 which means 1/4 clock cycle delay expected. however, if the board delay is large enough and cannot be ignored, the delay value should be ma de larger to compensate the board delay. table 50. source synchronous mode timing parameters 1 1 the gpmi source synchronous mode output timing can be controlled by the module?s internal registers gpmi_timing2_ce_delay, gpmi_timing_preamble_delay, gpmi_timing2_post_delay. this ac timing depends on these registers settings. in the table, ce_delay/pre _delay/post_delay represents each of these settings. id parameter symbol timing t = gpmi clock cycle unit min max nf18 nand_cex_b access time tce ce_delay ? t - 0.79 [see 2 ] 2 t = tck (gpmi clock period) -0.075ns (half of maximum p-p jitter). ns nf19 nand_cex_b hold time tch 0.5 ? tck - 0.63 [see 2 ]ns nf20 command/address nand_dataxx setup time tcas 0.5 ? tck - 0.05 ns nf21 command/address nand_dataxx hold time tcah 0.5 ? tck - 1.23 ns nf22 clock period tck ? ns nf23 preamble delay tpre pre_delay ? t - 0.29 [see 2 ]ns nf24 postamble delay tpost post_delay ? t - 0.78 [see 2 ]ns nf25 nand_cle and nand_ale setup time tcals 0.5 ? tck - 0.86 ns nf26 nand_cle and nand_ale hold time tcalh 0.5 ? tck - 0.37 ns nf27 nand_clk to first nand_dqs latching transition tdqss t - 0.41 [see 2 ]ns nf28 data write setup tds 0.25 ? tck - 0.35 ? nf29 data write hold tdh 0.25 ? tck - 0.85 ? nf30 nand_dqs/nand_dq read setup skew tdqsq ? 2.06 ? nf31 nand_dqs/nand_dq read hold skew tqhs ? 1.95 ?    ? ? .!.$?$13 .!.$?$!4!;= e&?  e&?  e&?  e&?
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 72 freescale semiconductor electrical characteristics 4.10.3 samsung toggle mode ac timing 4.10.3.1 command and address timing samsung toggle mode command and addr ess timing is the same as onfi 1.0 compatible async mode ac timing. see section 4.10.1, ?asynchronous mode ac timing (onfi 1.0 compatible)? for details. 4.10.3.2 read and write timing figure 39. samsung toggle mode data write timing .!.$?$!4!;= dev?clk .!.$?#%x?" .!.$?#,% .!.$?!,% .!.$?7%?" .!.$?2%?" .!.$?$13      t#+ .& .& t#+
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 73 figure 40. samsung toggle mode data read timing table 51. samsung toggle mode timing parameters 1 id parameter symbol timing t = gpmi clock cycle unit min max nf1 nand_cle setup time tcls (as + ds) ? t - 0.12 [see 2,3 ]? nf2 nand_cle hold time tclh dh ? t - 0.72 [see 2 ]? nf3 nand_cex_b setup time tcs (as + ds) ? t - 0.58 [see 3,2 ]? nf4 nand_cex_b hold time tch dh ? t - 1 [see 2 ]? nf5 nand_we_b pulse width twp ds ? t [see 2 ]? nf6 nand_ale setup time tals (as + ds) ? t - 0.49 [see 3,2 ]? nf7 nand_ale hold time talh dh ? t - 0.42 [see 2 ]? nf8 command/address nand_dataxx setup time tcas ds ? t - 0.26 [see 2 ]? nf9 command/address nand_dataxx hold time tcah dh ? t - 1.37 [see 2 ]? nf18 nand_cex_b access time tce ce_delay ? t [see 4,2 ]?ns nf22 clock period tck ? ? ns nf23 preamble delay tpre pre_delay ? t [see 5,2 ]?ns nf24 postamble delay tpost post_delay ? t +0.43 [see 2 ]? ns dev?clk .!.$?#%x?" .!.$?#,% .!.$?!,% .!.$?7%?" .!.$?2%?" .!.$?$13 .!.$?$!4!;= .&  t#+ t#+ .& t#+ t#+ .& t#+
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 74 freescale semiconductor electrical characteristics figure 38 shows the timing diagram of nand_dqs/nan d_dataxx read valid window. for ddr toggle mode, the typical value of tdqsq is 1.4 ns (m ax) and 1.4 ns (max) for tqhs at 133 mb/s. gpmi will sample nand_data[7:0] at bot h rising and falling edge of a dela yed nand_dqs si gnal, which is provided by an internal dpll. the delay value of th is register can be cont rolled by gpmi register gpmi_read_ddr_dll_ctrl.slv_dly_target (s ee the gpmi chapter of the i.mx 6dual/6quad reference manual (imx6d qrm)). generally, the typical de lay value is equal to 0x7 which means 1/4 clock cycle dela y expected. however, if the board dela y is large enough and cannot be ignored, the delay value should be made larger to compensate the board delay. 4.11 external peripheral interface parameters the following subsections provide inform ation on external peripheral interfaces. 4.11.1 audmux timing parameters the audmux provides a programmable interconnect logic for voice, a udio, and data routing between internal serial interfaces (ssis) and external serial interfaces (audio and voice codecs). the ac timing of audmux external pins is governed by the ssi module. for more information, see the respective ssi electrical specifications f ound within this document. 4.11.2 ecspi timing parameters this section describes the timi ng parameters of the ecspi bloc k. the ecspi has separate timing parameters for master and slave modes. nf28 data write setup tds 6 0.25 ? tck - 0.32 ? ns nf29 data write hold tdh 6 0.25 ? tck - 0.79 ? ns nf30 nand_dqs/nand_dq read setup skew tdqsq 7 ?3.18? nf31 nand_dqs/nand_dq read hold skew tqhs 7 ?3.27? 1 the gpmi toggle mode output timing can be controlled by the module?s internal registers hw_gpmi_timing0_address_setup, hw_gpmi_timing0_data_setup, and hw_gpmi_timing0_data_hold. this ac timing depends on these registers settings. in the table, as/ds/dh represents each of these settings. 2 as minimum value can be 0, while ds/dh minimum value is 1. 3 t = tck (gpmi clock period) -0.075ns (half of maximum p-p jitter). 4 ce_delay represents hw_gpmi_timing2[ce_delay]. nf18 is met automatically by the design. read/write operation is started with enough time of ale/cle assertion to low level. 5 pre_delay+1) ? (as+ds) 6 shown in figure 36 . 7 shown in figure 37 . table 51. samsung toggle mode timing parameters 1 (continued) id parameter symbol timing t = gpmi clock cycle unit min max
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 75 4.11.2.1 ecspi master mode timing figure 41 depicts the timing of ec spi in master mode and table 52 lists the ecspi master mode timing characteristics. figure 41. ecspi master mode timing diagram table 52. ecspi master mode timing parameters id parameter symbol min max unit cs1 ecspix_sclk cycle time?read ? slow group 1 ? fast group 2 ecspix_sclk cycle time?write 1 ecspi slow includes: ecspi1/disp0_dat22, ecspi 1/key_col1, ecspi1/csi0_dat 6, ecspi2/eim_oe, ecsp i2/ ecspi2/csi0_dat10, ecspi3/disp0_dat2 2 ecspi fast includes: ecspi1/eim_d17, ecspi4/eim_ d22, ecspi5/sd2_dat0, ecspi5/sd1_dat0 t clk 55 40 15 ?ns cs2 ecspix_sclk high or low time?read ? slow group 1 ? fast group 2 ecspix_sclk high or low time?write t sw 26 20 7 ?ns cs3 ecspix_sclk rise or fall 3 3 see specific i/o ac parameters section 4.7, ?i/o ac parameters.? t rise/fall ??ns cs4 ecspix_ssx pulse width t cslh half ecspix_sclk period ? ns cs5 ecspix_ssx lead time (cs setup time) t scs half ecspix_sclk period - 4 ? ns cs6 ecspix_ssx lag time (cs hold time) t hcs half ecspix_sclk period - 2 ? ns cs7 ecspix_mosi propagation delay (c load =20pf) t pdmosi -1 1 ns cs8 ecspix_miso setup time ? slow group 1 ? fast group 2 t smiso 21.5 16 ?ns cs9 ecspix_miso hold time t hmiso 0?ns cs10 ecspix_rdy to ecspix_ssx time 4 4 ecspi_rdy is sampled internally by ipg_clk and is asynchronous to all other cspi signals. t sdry 5?ns cs1 cs7 cs2 cs2 cs4 cs6 cs5 cs8 cs9 ecspix_sclk ecspix_ss_b ecspix_mosi ecspix_miso ecspix_rdy_b cs10 cs3 cs3
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 76 freescale semiconductor electrical characteristics 4.11.2.2 ecspi slave mode timing figure 42 depicts the timing of ec spi in slave mode and table 53 lists the ecspi slave mode timing characteristics. figure 42. ecspi slave mode timing diagram table 53. ecspi slave mo de timing parameters id parameter symbol min max unit cs1 ecspix_sclk cycle time?read ? slow group 1 ? fast group 2 ecspix_sclk cycle time?write 1 ecspi slow includes: ecspi1/disp0_dat22, ecspi1/key_col1, ecspi 1/csi0_dat6, ecspi2/eim_ oe, ecspi2/disp0_dat17, ecspi2/csi0_dat10, ecspi3/disp0_dat2 2 ecspi fast includes: ecspi1/eim_d17, ecspi4/eim_ d22, ecspi5/sd2_dat 0, ecspi5/sd1_dat0 t clk 55 40 15 ?ns cs2 ecspix_sclk high or low time?read ? slow group 1 ? fast group 2 ecspix_sclk high or low time?write t sw 26 20 7 ?ns cs4 ecspix_ssx pulse width t cslh half ecspix_sclk period ? ns cs5 ecspix_ssx lead time (cs setup time) t scs 5?ns cs6 ecspix_ssx lag time (cs hold time) t hcs 5?ns cs7 ecspix_mosi setup time t smosi 4?ns cs8 ecspix_mosi hold time t hmosi 4?ns cs9 ecspix_miso propagation delay (c load =20pf) ? slow group 1 ? fast group 2 t pdmiso 4 25 17 ns cs1 cs7 cs8 cs2 cs2 cs4 cs6 cs5 cs9 ecspix_sclk ecspix_ss_b ecspix_miso ecspix_mosi
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 77 4.11.3 enhanced serial audio inte rface (esai) timing parameters the esai consists of independent transmitter and receiver sections, each section with its own clock generator. table 54 shows the interface timing values. the numbe r field in the table refers to timing signals found in figure 43 and figure 44 . table 54. enhanced serial audio interface (esai) timing id parameter 1,2 symbol expression 2 min max condition 3 unit 62 clock cycle 4 t ssicc 4 ? t c 4 ? t c 30.0 30.0 ? ? i ck i ck ns 63 clock high period: ? for internal clock ? for external clock ? ? 2 ? t c ?? 9.0 2 ? t c 6 15 ? ? ? ? ns 64 clock low period: ? for internal clock ? for external clock ? ? 2 ? t c ?? 9.0 2 ? t c 6 15 ? ? ? ? ns 65 esai_rx_clk rising edge to esai_rx_fs out (bl) high ? ? ? ? ? ? 19.0 7.0 x ck i ck a ns 66 esai_rx_clk rising edge to esai_rx_fs out (bl) low ? ? ? ? ? ? 19.0 7.0 x ck i ck a ns 67 esai_rx_clk rising edge to esai_rx_fs out (wr) high 5 ? ? ? ? ? ? 19.0 9.0 x ck i ck a ns 68 esai_rx_clk rising edge to esai_rx_fs out (wr) low 5 ? ? ? ? ? ? 19.0 9.0 x ck i ck a ns 69 esai_rx_clk rising edge to esai_rx_fs out (wl) high ? ? ? ? ? ? 19.0 6.0 x ck i ck a ns 70 esai_rx_clk rising edge to esai_rx_fsout (wl) low ? ? ? ? ? ? 17.0 7.0 x ck i ck a ns 71 data in setup time before esai_rx_clk (serial clock in synchronous mode) falling edge ? ? ? ? 12.0 19.0 ? ? x ck i ck ns 72 data in hold time after esai_rx_clk falling edge ? ? ? ? 3.5 9.0 ? ? x ck i ck ns 73 esai_rx_fs input (bl, wr) high before esai_rx_clk falling edge 5 ? ? ? ? 2.0 19.0 ? ? x ck i ck a ns 74 esai_rx_fs input (wl) high before esai_rx_clk falling edge ? ? ? ? 2.0 19.0 ? ? x ck i ck a ns 75 esai_rx_fs input hold time after esai_rx_clk falling edge ? ? ? ? 2.5 8.5 ? ? x ck i ck a ns 78 esai_tx_clk rising edge to esai_tx_fs out (bl) high ? ? ? ? ? ? 19.0 8.0 x ck i ck ns 79 esai_tx_clk rising edge to esai_tx_fs out (bl) low ? ? ? ? ? ? 20.0 10.0 x ck i ck ns 80 esai_tx_clk rising edge to esai_tx_fs out (wr) high 5 ? ? ? ? ? ? 20.0 10.0 x ck i ck ns
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 78 freescale semiconductor electrical characteristics 81 esai_tx_clk rising edge to esai_tx_fs out (wr) low 5 ? ? ? ? ? ? 22.0 12.0 x ck i ck ns 82 esai_tx_clk rising edge to esai_tx_fs out (wl) high ? ? ? ? ? ? 19.0 9.0 x ck i ck ns 83 esai_tx_clk rising edge to esai_tx_fs out (wl) low ? ? ? ? ? ? 20.0 10.0 x ck i ck ns 84 esai_tx_clk rising edge to data out enable from high impedance ? ? ? ? ? ? 22.0 17.0 x ck i ck ns 86 esai_tx_clk rising edge to data out valid ? ? ? ? ? ? 19.0 13.0 x ck i ck ns 87 esai_tx_clk rising edge to data out high impedance 67 ? ? ? ? ? ? 21.0 16.0 x ck i ck ns 89 esai_tx_fs input (bl, wr) setup time before esai_tx_clk falling edge 5 ? ? ? ? 2.0 18.0 ? ? x ck i ck ns 90 esai_tx_fs input (wl) se tup time before esai_tx_clk falling edge ? ? ? ? 2.0 18.0 ? ? x ck i ck ns 91 esai_tx_fs input hold time after esai_tx_clk falling edge ? ? ? ? 4.0 5.0 ? ? x ck i ck ns 95 esai_rx_hf_clk/esai_tx_hf _clk clock cycle ? 2 x t c 15 ? ? ns 96 esai_tx_hf_clk input rising edge to esai_tx_clk output ???18.0?ns 97 esai_rx_hf_clk input rising edge to esai_rx_clk output ???18.0?ns 1 i ck = internal clock x ck = external clock i ck a = internal clock, asynchronous mode (asynchronous implies that esai_tx_clk and esai_rx_clk are two different clocks) i ck s = internal clock, synchronous mode (synchronous implies th at esai_tx_clk a nd esai_rx_clk are the same clock) 2 bl = bit length wl = word length wr = word length relative 3 esai_tx_clk(esai_tx_clk pi n) = transmit clock esai_rx_clk(esai_rx_clk pin) = receive clock esai_tx_fs(esai_tx_fs pin) = transmit frame sync esai_rx_fs(esai_rx_fs pin) = receive frame sync esai_tx_hf_clk(esai_tx_hf_clk pin) = transmit high frequency clock esai_rx_hf_clk(esai_rx_hf_clk pin) = receive high frequency clock 4 for the internal clock, the external clock cycle is defined by icyc and the esai control register. 5 the word-relative frame sync signal waveform relative to the clock operates in the same manner as the bit-length frame sync signal waveform, but it spreads from one serial clock before the first bit clock (like the bit length frame sync signal), until the second-to-last bit clock of the first word in the frame. 6 periodically sampled and not 100% tested. table 54. enhanced serial audio interface (esai) timing (continued) id parameter 1,2 symbol expression 2 min max condition 3 unit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 79 figure 43. esai transmitter timing esai_tx_clk (input/output) esai_tx_fs (bit) out esai_tx_fs (word) out data out esai_tx_fs (bit) in esai_tx_fs (word) in 62 64 78 79 82 83 87 86 86 84 91 89 90 91 63 last bit first bit
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 80 freescale semiconductor electrical characteristics figure 44. esai receiver timing esai_rx_clk (input/output) esai_rx_fs (bit) out esai_rx_fs (word) out data in esai_rx_fs (bit) in esai_rx_fs (word) in 62 64 65 69 70 72 71 75 73 74 75 63 66 first bit last bit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 81 4.11.4 ultra high speed sd/sdio/ mmc host interface (usdhc) ac timing this section describes the electrical informat ion of the usdhc, which includes sd/emmc4.3 (single data rate) timing and emmc4.4/ 4.1 (dual date rate) timing. 4.11.4.1 sd/emmc4.3 (singl e data rate) ac timing figure 45 depicts the timing of sd/emmc4.3, and table 55 lists the sd/emmc4.3 timing characteristics. figure 45. sd/emmc4.3 timing table 55. sd/emmc4.3 interface timing specification id parameter symbols min max unit card input clock sd1 clock frequency (low speed) f pp 1 0400khz clock frequency (sd/sdio full speed/high speed) f pp 2 0 25/50 mhz clock frequency (mmc full speed/high speed) f pp 3 0 20/52 mhz clock frequency (identification mode) f od 100 400 khz sd2 clock low time t wl 7?ns sd3 clock high time t wh 7?ns sd4 clock rise time t tlh ?3ns sd5 clock fall time t thl ?3ns esdhc output/card inputs sd_cmd, sd_datax (reference to sdx_clk) sd6 esdhc output delay t od ?6.6 3.6 ns sd1 sd3 sd5 sd4 sd7 sdx_clk sd2 sd8 sd6 output from usdhc to card input from card to usdhc sdx_data[7:0] sdx_data[7:0]
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 82 freescale semiconductor electrical characteristics 4.11.4.2 emmc4.4/4.41 (dual data rate) esdhcv3 ac timing figure 46 depicts the timi ng of emmc4.4/4.41. table 56 lists the emmc4.4/4.41 ti ming characteristics. be aware that only sdx_datax is sampled on both e dges of the clock (not applicable to sd_cmd). figure 46. emmc4.4/4.41 timing esdhc input/card outputs sd_cmd, sd_datax (reference to sdx_clk) sd7 esdhc input setup time t isu 2.5 ? ns sd8 esdhc input hold time 4 t ih 1.5 ? ns 1 in low speed mode, card clock must be lower than 400 khz, voltage ranges from 2.7 to 3.6 v. 2 in normal (full) speed mode for sd/sdio card, clock frequency can be any value between 0 ? 25 mhz. in high-speed mode, clock frequency can be any value between 0 ? 50 mhz. 3 in normal (full) speed mode for mmc card, clock frequency can be any value between 0 ? 20 mhz. in high-speed mode, clock frequency can be any value between 0 ? 52 mhz. 4 to satisfy hold timing, the delay difference between clock input and cmd/data input must not exceed 2 ns. table 56. emmc4.4/4.41 interface timing specification id parameter symbols min max unit card input clock sd1 clock frequency (emmc4.4 ddr) f pp 052mhz sd1 clock frequency (sd3.0 ddr) f pp 050mhz usdhc output / card inputs sd_cmd, sd_datax (reference to sd_clk) sd2 usdhc output delay t od 2.5 7.1 ns usdhc input / card outputs sd_cmd, sd_datax (reference to sd_clk) sd3 usdhc input setup time t isu 2.6 ? ns sd4 usdhc input hold time t ih 1.5 ? ns table 55. sd/emmc4.3 interface timing specification (continued) id parameter symbols min max unit sd1 sd3 output from esdhcv3 to card input from card to esdhcv3 sdx_data[7:0] sdx_clk sd4 sd2 ...... ...... sdx_data[7:0] sd2
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 83 4.11.4.3 sdr50/sdr104 ac timing figure 47 depicts the timing of sdr50/sdr104, and table 55 lists the sdr50/sdr104 timing characteristics. figure 47. sdr50/sdr104 timing table 57. sdr50/sdr104 interface timing specification id parameter symbols min max unit card input clock sd1 clock frequency period t clk 4.8 ? ns sd2 clock low time t cl 0.3 ? t clk 0.7 ? t clk ns sd2 clock high time t ch 0.3 ? t clk 0.7 ? t clk ns usdhc output/card inputs sd_cmd, sdx_da tax in sdr50 (reference to sdx_clk) sd4 usdhc output delay t od ?3 1 ns usdhc output/card inputs sd_cmd, sdx_datax in sdr104 (reference to sdx_clk) sd5 usdhc output delay t od ?1.6 1 ns usdhc input/card outputs sd_cmd, sdx_datax in sdr50 (reference to sdx_clk) sd6 usdhc input setup time t isu 2.5 ? ns sd7 usdhc input hold time t ih 1.5 ? ns usdhc input/card outputs sd_cmd, sdx_da tax in sdr104 (reference to sdx_clk) 1 1 data window in sdr100 mode is variable. sd8 card output data window t odw 0.5 ? t clk ?ns /utput&romu3$(#to#ard )nputfrom#ardtou3$(# 3#+ 3$ 3$ 3$ 3$ 3$ 3$ 3$ 3$ sdx_sclk
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 84 freescale semiconductor electrical characteristics 4.11.4.4 bus operation condition for 3.3 v and 1.8 v signaling signaling level of sd/emmc4.3 a nd emmc4.4/4.41 modes is 3.3 v. si gnaling level of sdr104/sdr50 mode is 1.8 v. the dc parameters for the nvcc_sd1, nvcc_sd2, and nvcc_sd3 supplies are identical to those shown in table 23, "gpio i/o dc parameters," on page 37 . 4.11.5 ethernet controller (enet) ac electrical specifications 4.11.5.1 enet mii mode timing this subsection describes mii receive, transmit, as ynchronous inputs, and serial management signal timings. 4.11.5.1.1 mii receive si gnal timing (enet_rx_data 3,2,1,0, enet_rx_en, enet_rx_er, and enet_rx_clk) the receiver functions correctly up to an enet_rx_clk maximum frequency of 25 mhz + 1%. there is no minimum frequency requirement. additionally, the processor clock frequency must exceed twice the enet_rx_clk frequency. figure 48 shows mii receiv e signal timings. table 58 describes the timing para meters (m1?m4) shown in the figure. figure 48. mii receive signal timing diagram 1 enet_rx_en, enet_rx_clk, and enet0_rxd0 have the same timing in 10 mbps 7-wire interface mode. table 58. mii receive signal timing id characteristic 1 min max unit m1 enet_rx_data3,2,1,0, en et_rx_en, enet_rx_er to enet_rx_clk setup 5? ns m2 enet_rx_clk to enet_rx_ data3,2,1,0, enet_rx_en, enet_rx_er hold 5? ns m3 enet_rx_clk pulse width high 35% 65% enet_rx_clk period m4 enet_rx_clk pulse width low 35% 65% enet_rx_clk period enet_rx_clk (input) enet_rx_data3,2,1,0 m3 m4 m1 m2 enet_rx_er enet_rx_en (inputs)
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 85 4.11.5.1.2 mii transmit signal timing (enet_tx_d ata3,2,1,0, enet_tx_en, enet_tx_er, and enet_tx_clk) the transmitter functions correctly up to an enet_tx_clk maximum frequency of 25 mhz + 1%. there is no minimum frequency requi rement. additionally, the processo r clock frequency must exceed twice the enet_tx_clk frequency. figure 49 shows mii transm it signal timings. table 59 describes the timing pa rameters (m5?m8) shown in the figure. figure 49. mii transmit signal timing diagram 1 enet_tx_en, enet_tx_clk, and enet 0_txd0 have the same timing in 10-mbps 7-wire interface mode. 4.11.5.1.3 mii asynchronous inputs signal timing ( enet_crs and enet_col) figure 50 shows mii asynchronous input timings. table 60 describes the timing pa rameter (m9) shown in the figure. figure 50. mii async inputs timing diagram table 59. mii transmit signal timing id characteristic 1 min max unit m5 enet_tx_clk to enet_tx_data3,2,1,0, enet_tx_en, enet_tx_er invalid 5? ns m6 enet_tx_clk to enet_tx_data3,2,1,0, enet_tx_en, enet_tx_er valid ?20 ns m7 enet_tx_clk pulse width high 35% 65% enet_tx_clk period m8 enet_tx_clk pulse width low 35% 65% enet_tx_clk period enet_tx_clk (input) enet_tx_data3,2,1,0 m7 m8 m5 m6 enet_tx_er enet_tx_en (outputs) enet_crs, enet_col m9
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 86 freescale semiconductor electrical characteristics 1 enet_col has the same timing in 10-mbit 7-wire interface mode. 4.11.5.1.4 mii serial management ch annel timing (enet_mdio and enet_mdc) the mdc frequency is designed to be equal to or less than 2.5 mhz to be compatible with the ieee 802.3 mii specification. however the enet can function correctly with a maximum mdc frequency of 15 mhz. figure 51 shows mii asynchronous input timings. table 61 describes the timing parameters (m10?m15) shown in the figure. figure 51. mii serial management channel timing diagram table 60. mii asynchronous inputs signal timing id characteristic min max unit m9 1 enet_crs to enet_col minimum pulse width 1.5 ? enet_tx_clk period table 61. mii serial management channel timing id characteristic min max unit m10 enet_mdc falling edge to enet_mdio output invalid (minimum propagation delay) 0? ns m11 enet_mdc falling edge to enet_mdio output valid (maximum propagation delay) ?5 ns m12 enet_mdio (input) to enet_mdc rising edge setup 18 ? ns m13 enet_mdio (input) to enet_mdc rising edge hold 0 ? ns m14 enet_mdc pulse width high 40% 60% enet_mdc period m15 enet_mdc pulse width low 40% 60% enet_mdc period enet_mdc (output) enet_mdio (output) m14 m15 m10 m11 m12 m13 enet_mdio (input)
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 87 4.11.5.2 rmii mode timing in rmii mode, enet_clk is used as the ref_cl k, which is a 50 mhz 50 ppm continuous reference clock. enet_rx_en is used as the enet_rx_en in rmii. other signals under rmii mode include enet_tx_en, enet0_txd[1:0], enet_rxd[1:0] and enet_rx_er. figure 52 shows rmii mode timings. table 62 describes the timing parame ters (m16?m21) shown in the figure. figure 52. rmii mode signal timing diagram table 62. rmii signal timing id characteristic min max unit m16 enet_clk pulse width high 35% 65% enet_clk period m17 enet_clk pulse width low 35% 65% enet_clk period m18 enet_clk to enet0_txd[1:0 ], enet_tx_en invalid 4 ? ns m19 enet_clk to enet0_txd[1:0 ], enet_tx_en valid ? 15 ns m20 enet_rxd[1:0], en et_rx_en(enet_rx_en), enet_rx_er to enet_clk setup 4? ns m21 enet_clk to enet_rxd[1:0], enet_rx_en, enet_rx_er hold 2 ? ns enet_clk (input) enet_tx_en m16 m17 m18 m19 m20 m21 enet_rxd[1:0] enet0_txd[1:0] (output) enet_rx_er enet_rx_en (input)
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 88 freescale semiconductor electrical characteristics 4.11.5.3 rgmii signal switching specifications the following timing specifi cations meet the requirements for rgmii interfaces for a range of transceiver devices. figure 53. rgmii transmit signal timing diagram original table 63. rgmii signal switching specifications 1 1 the timings assume the following configuration: ddr_sel = (11)b dse (drive-strength) = (111)b symbol description min max unit t cyc 2 2 for 10 mbps and 100 mbps, t cyc will scale to 400 ns 40 ns and 40 ns 4 ns respectively. clock cycle duration 7.2 8.8 ns t skewt 3 3 for all versions of rgmii prior to 2.0; this implies that pc boa rd design will require clocks to be routed such that an additio nal delay of greater than 1.2 ns and less than 1.7 ns will be adde d to the associated clock signal. for 10/100, the max value is unspecified. data to clock output skew at transmitter -100 900 ps t skewr 3 data to clock input skew at receiver 1 2.6 ns duty_g 4 4 duty cycle may be stretched/shrunk during speed changes or wh ile transitioning to a received packet's clock domain as long as minimum duty cycle is not violated and stretching occurs fo r no more than three tcyc of the lowest speed transitioned between. duty cycle for gigabit 45 55 % duty_t 4 duty cycle for 10/100t 40 60 % tr/tf rise/fall time (20?80%) ? 0.75 ns
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 89 figure 54. rgmii receive signal timing diagram original figure 55. rgmii receive signal timing diagram with internal delay 4.11.6 flexible controller area ne twork (flexcan) ac electrical specifications the flexible controller area network (flexcan) module is a communication controller implementing the can protocol according to the can 2.0b protocol specification.the processor has two can modules available for systems design. tx a nd rx ports for both modules are multiplexed with other i/o pins. see the iomuxc chapter of the i.mx 6dual/6quad reference manual (imx6dqrm) to see which pins expose tx and rx pins; these ports are name d flexcan_tx and flex can_rx, respectively. 4.11.7 hdmi module timing parameters 4.11.7.1 latencies and timing information power-up time (time between tx_p wron assertion and tx_ready a ssertion) for the hdmi 3d tx phy while operating with the slowest input re ference clock supported (13.5 mhz) is 3.35 ms.
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 90 freescale semiconductor electrical characteristics power-up time for the hdmi 3d tx phy while operating with the fastes t input referenc e clock supported (340 mhz) is 133 ? s. 4.11.7.2 electrical characteristics the table below provides electrical characteristics for the hdmi 3d tx phy. the following three figures illustrate various definitions and measurement conditions sp ecified in the table below. figure 56. driver me asuring conditions figure 57. driver definitions figure 58. source termination table 64. electrical characteristics symbol parameter condition min typ max unit operating conditions for hdmi avddtmds termination supply voltage ? 3.15 3.3 3.45 v
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 91 4.11.8 switching characteristics table 65 describes switching characteristics for the hdmi 3d tx phy. figure 59 to figure 63 illustrate various parameters specified in table. note all dynamic parameters related to th e tmds line drivers? performance imply the use of assembly guidelines. r t termination resistance ? 45 50 55 ? tmds drivers dc specifications v off single-ended standby voltage rt = 50 ? for measurement conditions and definitions, see the first two figures above. compliance point tp1 as defined in the hdmi specification, version 1.3a, section 4.2.4. avddtmds 10 mv mv v swing single-ended output swing voltage 400 ? 600 mv v h single-ended output high voltage for definition, see the second figure above. if attached sink supports tmdsclk < or = 165 mhz avddtmds 10 mv mv if attached sink supports tmdsclk > 165 mhz avddtmds ? 200 mv ? avddtmds + 10 mv mv v l single-ended output low voltage for definition, see the second figure above. if attached sink supports tmdsclk < or = 165 mhz avddtmds ? 600 mv ? avddtmds ? 400mv mv if attached sink supports tmdsclk > 165 mhz avddtmds ? 700 mv ? avddtmds ? 400 mv mv r term differential source termination load (inside hdmi 3d tx phy) although the hdmi 3d tx phy includes differential source termination, the user-defined value is set for each single line (for illustration, see the third figure above). note: r term can also be configured to be open and not present on tmds channels. ? 50 ? 200 ? hot plug detect specifications hpd vh hot plug detect high range ? 2.0 ? 5.3 v vhpd vl hot plug detect low range ? 0 ? 0.8 v hpd z hot plug detect input impedance ? 10 ? ? k ? hpd t hot plug detect time delay ? ? ? 100 s table 64. electrical characteristics (continued) symbol parameter condition min typ max unit
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 92 freescale semiconductor electrical characteristics figure 59. tmds clock signal definitions figure 60. eye diagram mask definition for hdmi driver signal specification at tp1 figure 61. intra-pair skew definition p tmdsclk 50% t cph t cpl
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 93 figure 62. inter-pair skew definition figure 63. tmds output signals rise and fall time definition table 65. switching characteristics symbol parameter conditions min typ max unit tmds drivers specifications ? maximum serial data rate ? ? ? 3.4 gbps f tmdsclk tmdsclk frequency on tmdsclkp/n outputs 25 ? 340 mhz p tmdsclk tmdsclk period rl = 50 ? see figure 59. 2.94 ? 40 ns t cdc tmdsclk duty cycle t cdc = t cph / p tmdsclk rl = 50 ? see figure 59 . 40 50 60 % t cph tmdsclk high time rl = 50 ? see figure 59. 456ui t cpl tmdsclk low time rl = 50 ? see figure 59. 456ui ? tmdsclk jitter 1 rl = 50 ? ? ? 0.25 ui t sk(p) intra-pair (pulse) skew rl = 50 ? see figure 61. ? ? 0.15 ui t sk(pp) inter-pair skew rl = 50 ? see figure 62. ??1ui t r differential output signal rise time 20?80% rl = 50 ? see figure 63. 75 ? 0.4 ui ps
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 94 freescale semiconductor electrical characteristics 4.11.9 i 2 c module timing parameters this section describes the timing parameters of the i 2 c module. figure 64 depicts the timing of i 2 c module, and table 66 lists the i 2 c module timing characteristics. figure 64. i 2 c bus timing t f differential output signal fall time 20?80% rl = 50 ? see figure 63 . 75 ? 0.4 ui ps ? differential signal overshoot referred to 2x v swing ??15% ? differential signal undershoot referred to 2x v swing ??25% data and control interface specifications t power-up 2 hdmi 3d tx phy power-up time from power-down to hsi_tx_ready assertion ? ? 3.35 ms 1 relative to ideal recovery clock, as specified in the hdmi specification, ve rsion 1.4a, section 4.2.3. 2 for information about latencies and associated timings, see section 4.11.7.1, ?latencie s and timing information.? table 66. i 2 c module timing parameters id parameter standard mode fast mode unit min max min max ic1 i2cx_scl cycle time 10 ? 2.5 ? s ic2 hold time (repeated) start condition 4.0 ? 0.6 ? s ic3 set-up time for stop condition 4.0 ? 0.6 ? s ic4 data hold time 0 1 3.45 2 0 1 0.9 2 s ic5 high period of i2cx_scl clock 4.0 ? 0.6 ? s ic6 low period of the i2cx_scl clock 4.7 ? 1.3 ? s ic7 set-up time for a repeated start condition 4.7 ? 0.6 ? s ic8 data set-up time 250 ? 100 3 ?ns table 65. switching characteristics (continued) symbol parameter conditions min typ max unit ic10 ic11 ic9 ic2 ic8 ic4 ic7 ic3 ic6 ic10 ic5 ic11 start stop start start i2cx_sda i2cx_scl ic1
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 95 4.11.10 image processing unit (ipu) module parameters the purpose of the ipu is to provide comprehensive su pport for the flow of data from an image sensor and/or to a display device. this support covers all as pects of these activities: ? connectivity to relevant devices ? cameras, displays, graphics accelerators, and tv encoders. ? related image processing and ma nipulation: sensor image signa l processing, display processing, image conversions, and ot her related functions. ? synchronization and control cap abilities, such as avoida nce of tearing artifacts. ic9 bus free time between a stop and start condition 4.7 ? 1.3 ? s ic10 rise time of both i2cx_sda and i2cx_scl signals ? 1000 20 + 0.1c b 4 300 ns ic11 fall time of both i2cx_sda and i2cx_scl signals ? 300 20 + 0.1c b 4 300 ns ic12 capacitive load for each bus line (c b ) ? 400 ? 400 pf 1 a device must internally provide a hold time of at least 300 ns for i2cx_sda signal in order to bridge the undefined region of the falling edge of i2cx_scl. 2 the maximum hold time has only to be met if the device does not stretch the low period (id no ic5) of the i2cx_scl signal. 3 a fast-mode i2c-bus device can be used in a standard-mode i2 c-bus system, but the requirement of set-up time (id no ic7) of 250 ns must be met. this automatically is the case if t he device does not stretch the low period of the i2cx_scl signal. if such a device does stretch the low period of the i2cx_scl si gnal, it must output the next data bit to the i2cx_sda line max_rise_time (ic9) + data_setup_time (ic7 ) = 1000 + 250 = 1250 ns (according to t he standard-mode i2c-bus specification) before the i2cx_scl line is released. 4 c b = total capacitance of one bus line in pf. table 66. i 2 c module timing parameters (continued) id parameter standard mode fast mode unit min max min max
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 96 freescale semiconductor electrical characteristics 4.11.10.1 ipu sensor interface signal mapping the ipu supports a number of sensor input formats. table 67 defines the mapping of the sensor interface pins used for various supported interface formats. table 67. camera input signal cross reference, format, and bits per cycle signal name 1 1 ipu2_csix stands for ipu2_csi1 or ipu2_csi2. rgb565 8 bits 2 cycles rgb565 2 8 bits 3 cycles rgb666 3 8 bits 3 cycles rgb888 8 bits 3 cycles ycbcr 4 8 bits 2 cycles rgb565 5 16 bits 2 cycles ycbcr 6 16 bits 1 cycle ycbcr 7 16 bits 1 cycle ycbcr 8 20 bits 1 cycle ipux_csix_ data00 ???????0c[0] ipux_csix_ data01 ???????0c[1] ipux_csix_ data02 ? ? ? ? ? ? ? c[0] c[2] ipux_csix_ data03 ? ? ? ? ? ? ? c[1] c[3] ipux_csix_ data04 ? ? ? ? ? b[0] c[0] c[2] c[4] ipu2_csix_ data_05 ? ? ? ? ? b[1] c[1] c[3] c[5] ipux_csix_ data06 ? ? ? ? ? b[2] c[2] c[4] c[6] ipux_csix_ data07 ? ? ? ? ? b[3] c[3] c[5] c[7] ipux_csix_ data08 ? ? ? ? ? b[4] c[4] c[6] c[8] ipux_csix_ data09 ? ? ? ? ? g[0] c[5] c[7] c[9] ipux_csix_ data10 ? ? ? ? ? g[1] c[6] 0 y[0] ipux_csix_ data11 ? ? ? ? ? g[2] c[7] 0 y[1] ipux_csix_ data12 b[0], g[3] r[2],g[4],b[2] r/g/b[4] r/g/b[0] y/c[0] g[3] y[0] y[0] y[2] ipux_csix_ data13 b[1], g[4] r[3],g[5],b[3] r/g/b[5] r/g/b[1] y/c[1] g[4] y[1] y[1] y[3] ipux_csix_ data14 b[2], g[5] r[4],g[0],b[4] r/g/b[0] r/g/b[2] y/c[2] g[5] y[2] y[2] y[4] ipux_csix_ data15 b[3], r[0] r[0],g[1],b[0] r/g/b[1] r/g/b[3] y/c[3] r[0] y[3] y[3] y[5] ipux_csix_ data16 b[4], r[1] r[1],g[2],b[1] r/g/b[2] r/g/b[4] y/c[4] r[1] y[4] y[4] y[6] ipux_csix_ data17 g[0], r[2] r[2],g[3],b[2] r/g/b[3] r/g/b[5] y/c[5] r[2] y[5] y[5] y[7] ipux_csix_ data18 g[1], r[3] r[3],g[4],b[3] r/g/b[4] r/g/b[6] y/c[6] r[3] y[6] y[6] y[8] ipux_csix_ data19 g[2], r[4] r[4],g[5],b[4] r/g/b[5] r/g/b[7] y/c[7] r[4] y[7] y[7] y[9]
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 97 4.11.10.2 sensor interface timings there are three camera timi ng modes supported by the ipu. 4.11.10.2.1 bt.656 and bt.1120 video mode smart camera sensors, which include imaging processing, usua lly support video mode transfer. they use an embedded timing syntax to replace the ipu 2_csix_vsync and ipu2_csix_hsync signals. the timing syntax is defined by the bt.656/bt.1120 standards. this operation mode follows the recommendations of itu bt.656/ itu bt.1120 specifications. the only control signal used is ip u2_csix_pix_clk. start-of-f rame and active-line signa ls are embedded in the data stream. an active line starts with a sav code and ends with a eav code. in some cases, digital blanking is inserted in between eav and sav code . the csi decodes and filte rs out the timing-coding from the data stream, thus rec overing ipu2_csix_vsync and ipu2_c six_hsync signals for internal use. on bt.656 one component per cycle is rece ived over the ipu2_csix_data_en bus. on bt.1120 two components per cycle are receive d over the ipu2_csix_data_en bus. 4.11.10.2.2 gated clock mode the ipu2_csix_vsync, ipu2_csi x_hsync, and ipu2_csix_pix_clk signals are used in this mode. see figure 65 . figure 65. gated clock mode timing diagram 2 the msb bits are duplicated on lsb bits implementing color extension. 3 the two msb bits are duplicated on lsb bits implementing color extension. 4 ycbcr, 8 bits?supported within the bt.656 prot ocol (sync embedded within the data stream). 5 rgb, 16 bits?supported in two ways: (1) as a ?generic data ? input?with no on-the-fly processing; (2) with on-the-fly processing, but only under some rest rictions on the control protocol. 6 ycbcr, 16 bits?supported as a ?generic-d ata? input?with no on-the-fly processing. 7 ycbcr, 16 bits?supported as a sub-case of the ycbcr, 20 bits, under the same conditions (bt.1120 protocol). 8 ycbcr, 20 bits?supported only within the bt.112 0 protocol (syncs embedded within the data stream). )05?#3)x?0)8?#,+ )05?#3)x?(39.# )05?#3)x?639.# 3tartof&rame nthframe )05?#3)x?$!4!;= invalid stbyte stbyte invalid !ctive,ine n thframe
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 98 freescale semiconductor electrical characteristics a frame starts with a rising edge on ipu2_csix_vsync (all the timi ngs correspond to straight polarity of the corresponding signals). then ipu2_csix_hsync goes to high and hold for the entire line. pixel clock is valid as long as ipu2_csix_hsync is high. data is latched at the rising edge of the valid pixel clocks. ipu2_csix_hsync goes to low at the end of line. pixel clocks then become invalid and the csi stops receiving data from the stream. for the next line, the ipu2_csix_hsync timing repeats. for the next frame, the ipu2_csix_vsync timing repeats. 4.11.10.2.3 non-gated clock mode the timing is the same as the ga ted-clock mode (described in section 4.11.10.2.2, ?gated clock mode ,? ) except for the ipu2_csix_hsync si gnal, which is not used (see figure 66 ). all incoming pixel clocks are valid and cause data to be latched into the i nput fifo. the ipu2_csix_pix _clk signal is inactive (states low) until valid da ta is going to be tr ansmitted over the bus. figure 66. non-gated clock mode timing diagram the timing described in figure 66 is that of a typical sensor. some other sensors may have a slightly different timing. the csi can be programmed to support rising/fal ling-edge triggered ipu2_csix_vsync; active-high/low ipu2_csix_h sync; and rising/fall ing-edge triggered ipu2_csix_pix_clk. ipu2_csix_vsync ipu2_csix_pix_clk ipu2_csix_data_en[19:0] invalid 1st byte n+1th frame invalid 1st byte nth frame start of frame
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 99 4.11.10.3 electrical characteristics figure 67 depicts the sensor interfac e timing. ipu2_csix_pix_clk si gnal described here is not generated by the ipu. table 68 lists the sensor interface timing characteristics. figure 67. sensor interface timing diagram 4.11.10.4 ipu display interface signal mapping the ipu supports a number of di splay output video formats. table 69 defines the mapping of the display interface pins used during variou s supported video interface formats. table 68. sensor interface timing characteristics id parameter symbol min max unit ip1 sensor output (pixel) clock frequency fpck 0.01 180 mhz ip2 data and control setup time tsu 2 ? ns ip3 data and control holdup time thd 1 ? ns table 69. video signal cross-reference i.mx 6dual/6quad lcd comment 1, 2 port name (x = 0, 1) rgb, signal name (general) rgb/tv signal allocation (example) 16-bit rgb 18-bit rgb 24 bit rgb 8-bit ycrcb 3 16-bit ycrcb 20-bit ycrcb ipux_dispx_dat00 dat[0] b[0] b[0] b[0] y/c[0] c[0] c[0] ? ipux_dispx_dat01 dat[1] b[1] b[1] b[1] y/c[1] c[1] c[1] ? ipux_dispx_dat02 dat[2] b[2] b[2] b[2] y/c[2] c[2] c[2] ? ipux_dispx_dat03 dat[3] b[3] b[3] b[3] y/c[3] c[3] c[3] ? ipux_dispx_dat04 dat[4] b[4] b[4] b[4] y/c[4] c[4] c[4] ? ipux_dispx_dat05 dat[5] g[0] b[5] b[5] y/c[5] c[5] c[5] ? ipux_dispx_dat06 dat[6] g[1] g[0] b[6] y/c[6] c[6] c[6] ? ip3 ipux_csix_data_en, ipux_csix_vsync, ip2 1/ip1 ipux_csix_pix_clk (sensor output) ipux_csix_hsync
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 100 freescale semiconductor electrical characteristics ipux_dispx_dat07 dat[7] g[2] g[1] b[7] y/c[7] c[7] c[7] ? ipux_dispx_dat08 dat[8] g[3] g[2] g[0] ? y[0] c[8] ? ipux_dispx_dat09 dat[9] g[4] g[3] g[1] ? y[1] c[9] ? ipux_dispx_dat10 dat[10] g[5] g[4] g[2] ? y[2] y[0] ? ipux_dispx_dat11 dat[11] r[0] g[5] g[3] ? y[3] y[1] ? ipux_dispx_dat12 dat[12] r[1] r[0] g[4] ? y[4] y[2] ? ipux_dispx_dat13 dat[13] r[2] r[1] g[5] ? y[5] y[3] ? ipux_dispx_dat14 dat[14] r[3] r[2] g[6] ? y[6] y[4] ? ipux_dispx_dat15 dat[15] r[4] r[3] g[7] ? y[7] y[5] ? ipux_dispx_dat16 dat[16] ? r[4] r[0] ? ? y[6] ? ipux_dispx_dat17 dat[17] ? r[5] r[1] ? ? y[7] ? ipux_dispx_dat18 dat[18] ? ? r[2] ? ? y[8] ? ipux_dispx_dat19 dat[19] ? ? r[3] ? ? y[9] ? ipux_dispx_dat20 dat[20] ? ? r[4] ? ? ? ? ipux_dispx_dat21 dat[21] ? ? r[5] ? ? ? ? ipux_dispx_dat22 dat[22] ? ? r[6] ? ? ? ? ipux_dispx_dat23 dat[23] ? ? r[7] ? ? ? ? ipux_dix_disp_clk pixclk ? ipux_dix_pin01 ? may be required for anti-tearing ipux_dix_pin02 hsync ? ipux_dix_pin03 vsync vsync out ipux_dix_pin04 ? additional frame/row synchronous signals with programmable timing ipux_dix_pin05 ? ipux_dix_pin06 ? ipux_dix_pin07 ? ipux_dix_pin08 ? table 69. video signal cross-reference (continued) i.mx 6dual/6quad lcd comment 1, 2 port name (x = 0, 1) rgb, signal name (general) rgb/tv signal allocation (example) 16-bit rgb 18-bit rgb 24 bit rgb 8-bit ycrcb 3 16-bit ycrcb 20-bit ycrcb
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 101 note table 69 provides information for both the disp0 and disp1 ports. however, disp1 port has reduced pinout depending on iomuxc configuration and therefore may not support all configurations. see the iomuxc table for details. 4.11.10.5 ipu display interface timing the ipu display interface supports two kinds of displa y accesses: synchronous and asynchronous. there are two groups of external inte rface pins to provide synchr onous and asynchronous controls. 4.11.10.5.1 synchronous controls the synchronous control changes its valu e as a function of a sy stem or of an extern al clock. this control has a permanent period a nd a permanent waveform. there are special physical outputs to provide synchronous controls: ? the ipp_disp_clk is a dedicated ba se synchronous signal that is us ed to generate a base display (component, pixel) clock for a display. ipux_dix_d0_cs ? ? ipux_dix_d1_cs ? alternate mode of pwm output for contrast or brightness control ipux_dix_pin11 ? ? ipux_dix_pin12 ? ? ipux_dix_pin13 ? register select signal ipux_dix_pin14 ? optional rs2 ipux_dix_pin15 drdy/dv data validation/blank, data enable ipux_dix_pin16 ? additional data synchronous signals with programmable features/timing ipux_dix_pin17 q 1 signal mapping (both data and control/synchronizati on) is flexible. the table provides examples. 2 restrictions for ports ipux_dispx_dat00 through ipux_dispx_dat23 are as follows: ? a maximum of three continuous groups of bits can be indep endently mapped to the external bus. groups must not overlap. ? the bit order is expressed in each of the bit groups, for example, b[0] = least significant blue pixel bit. 3 this mode works in compliance with recommendation itu-r bt .656. the timing reference signals (frame start, frame end, line start, and line end) are embedded in the 8-bit data bus. only vi deo data is supported, transmission of non-video related data during blanking intervals is not supported. table 69. video signal cross-reference (continued) i.mx 6dual/6quad lcd comment 1, 2 port name (x = 0, 1) rgb, signal name (general) rgb/tv signal allocation (example) 16-bit rgb 18-bit rgb 24 bit rgb 8-bit ycrcb 3 16-bit ycrcb 20-bit ycrcb
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 102 freescale semiconductor electrical characteristics ? the ipp_pin_1? ipp_pin_7 are general purpose synchr onous pins, that can be used to provide hsync, vsync, drdy or any else independent signal to a display. the ipu has a system of intern al binding counters for internal events (such as, hsync/vsync) calculation. the internal event (local start point) is synchronized with in ternal di_clk. a suitable control starts from the local start point with predefined up and down values to calculate control?s changing points with half di_clk resolution. a full descript ion of the counter system can be found in the ipu chapter of the i.mx 6dual/6qua d reference manual (imx6dqrm). 4.11.10.5.2 asynchronous controls the asynchronous contro l is a data-oriented signal th at changes its value with an output data according to additional internal flags coming with the data. there are special physical outputs to pr ovide asynchronous controls, as follows: ? the ipp_d0_cs and ipp_d1_cs pins are dedicated to provide chip select signals to two displays. ? the ipp_pin_11? ipp_pin_17 are gene ral purpose asynchronous pins, that can be used to provide wr. rd, rs or any other data -oriented signal to display. note the ipu has independent signal ge nerators for asynchronous signals toggling. when a di decides to put a new asynchronous data on the bus, a new internal start (local start point ) is generated. the signal generators calculate predefined up and down values to change pins states with half di_clk resolution. 4.11.10.6 synchronous interfaces to st andard active matr ix tft lcd panels 4.11.10.6.1 ipu display operating signals the ipu uses four control signals and data to operate a standard synchronous interface: ? ipp_disp_clk?clock to display ? hsync?horizontal synchronization ? vsync?vertical synchronization ? drdy?active data all synchronous display controls are generated on the base of an internally generated ?local start point?. the synchronous display controls can be placed on ti me axis with di?s offset, up and down parameters. the display access can be whole number of di cloc k (tdiclk) only. the ipp_data can not be moved relative to the local start point. the data bus of the synchronous interface is output direction only. 4.11.10.6.2 lcd interfac e functional description figure 68 depicts the lcd interface timing for a generic act ive matrix color tft panel. in this figure, signals are shown with negative pol arity. the sequence of events for active matrix interface timing is: ? di_clk internal di clock is used for calculation of other controls.
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 103 ? ipp_disp_clk latches data into the panel on its negative edge (when positive polarity is selected). in active mode, ipp_disp_clk runs continuously. ? hsync causes the panel to start a new line. (u sually ipux_dix_pin02 is used as hsync.) ? vsync causes the panel to start a new frame. it always encompasses at least one hsync pulse. (usually ipux_dix_pin03 is used as vsync.) ? drdy acts like an output enable signal to the cr t display. this output enables the data to be shifted onto the display. when disabled, the data is invalid and the trace is off. (drdy can be used either synchronous or asynchronous generic purpose pin as well.) figure 68. interface timing diagram for tft (active matrix) panels 4.11.10.6.3 tft panel sync pulse timing diagrams figure 69 depicts the horizontal timing (tim ing of one line), including bot h the horizontal sync pulse and the data. all the parameters shown in the figur e are programmable. all controls are started by corresponding internal events?local start points. the timing diagrams correspond to inverse polarity of the ipp_disp_clk signal and active-low polarity of the hsync, vsync, and drdy signals. 123 m m?1 hsync vsync hsync line 1 line 2 line 3 line 4 line n-1 line n drdy ipp_disp_clk ipp_data
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 104 freescale semiconductor electrical characteristics figure 69. tft panels timing di agram?horizontal sync pulse figure 70 depicts the vertical timing (t iming of one frame). all parame ters shown in the figure are programmable. figure 70. tft panels timing diagram?vertical sync pulse di clock vsync hsync drdy d0 d1 ip5o ip13o ip9o ip8o ip8 ip9 dn ip10 ip7 ip5 ip6 local start point local start point local start point ipp_disp_clk ipp _data ip14 vsync hsync drdy start of frame end of frame ip12 ip15 ip13 ip11
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 105 table 70 shows timing characteristic s of signals presented in figure 69 and figure 70 . table 70. synchronous display interface timing characteristics (pixel level) id parameter symbol value description unit ip5 display interface clock period tdicp (see 1 ) display interface clock ipp_disp_clk ns ip6 display pixel clock period tdpcp disp_clk_per_pixel ? tdicp time of translation of one pixel to display, disp_clk_per_pixel?number of pixel components in one pixel (1. n ). the disp_clk_per_pixel is virtual parameter to define display pixel clock period. the disp_clk_per_pixel is received by dc/di one access division to n components. ns ip7 screen width time tsw (screen_width) ? tdicp screen_width?screen width in, interface clocks. horizontal blanking included. the screen_width should be built by suitable di?s counter 2 . ns ip8 hsync width time thsw (hsync_width) hsync_width?hsync width in di_clk with 0.5 di_clk resolution. defined by di?s counter. ns ip9 horizontal blank interval 1 thbi1 bgxp ? tdicp bgxp?width of a horizontal blanking before a first active data in a line (in interface clocks). the bgxp should be built by suitable di?s counter. ns ip10 horizontal blank interval 2 thbi2 (screen_width ? bgxp ? fw) ? tdicp width a horizontal blanking after a last active data in a line (in interface clocks) fw?with of active line in interface clocks. the fw should be built by suitable di?s counter. ns ip12 screen height tsh (screen_height) ? tsw screen_height? screen height in lines with blanking. the screen_height is a distance between 2 vsyncs. the screen_height should be built by suitable di?s counter. ns ip13 vsync width tvsw vsync_width vsync_w idth?vsync width in di_clk with 0.5 di_clk resolution. defined by di?s counter. ns ip14 vertical blank interval 1 tvbi1 bgyp ? tsw bgyp?width of first vertical blanking interval in line. the bgyp should be built by suitable di?s counter. ns ip15 vertical blank interval 2 tvbi2 (screen_height ? bgyp ? fh) ? tsw width of second vertical blanking interval in line. the fh should be built by suitable di?s counter. ns
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 106 freescale semiconductor electrical characteristics the maximum accuracy of up/down edge of controls is: ip5o offset of ipp_disp_clk todicp disp_clk_offset ?? tdiclk disp_clk_offset?offset of ipp_disp_clk edges from local start point, in di_clk ? 2 (0.5 di_clk resolution). defined by disp_clk counter. ns ip13o offset of vsync tovs vsync_offset ?? tdiclk vsync_offset?offset of vsync edges from a local start point, when a vsync should be active, in di_clk ? 2 (0.5 di_clk resolution). the vsync_offset should be built by suitable di?s counter. ns ip8o offset of hsync tohs hsync_offset ? tdiclk hsync_offset?offset of hsync edges from a local start point, when a hsync should be active, in di_clk ? 2 (0.5 di_clk resolution). the hsync_offset should be built by suitable di?s counter. ns ip9o offset of drdy todrdy drdy_offset ? tdiclk drdy_offset?offset of drdy edges from a suitable local start point, when a corresponding data has been set on the bus, in di_clk ? 2 (0.5 di_clk resolution). the drdy_offset should be built by suitable di?s counter. ns 1 display interface clock period immediate value. disp_clk_period?number of di_clk per one tdicp. resolution 1/16 of di_clk. di_clk_period?relation of betw een programing clock frequency and current system clock frequency display interface clock period average value. 2 di?s counter can define offset, period and up/down characteristic of output sign al according to programed parameters of the counter. same of parameters in the tabl e are not defined by di?s registers direct ly (by name), but can be generated by corresponding di?s counter. the screen_width is an input value for di?s hsync generation counter. the distance between hsyncs is a screen_width. table 70. synchronous display interface timing characteristics (pixel level) (continued) id parameter symbol value description unit tdicp t diclk disp_clk_period di_clk_period ---------------------------------------------------- ? for integer disp_clk_period di_clk_period ---------------------------------------------------- , t diclk floor disp_clk_period di_clk_period ---------------------------------------------------- 0.5 0.5 ? + ?? ?? for fractional disp_clk_period di_clk_period ---------------------------------------------------- , ? ? ? ? ? ? ? = tdicp t diclk disp_clk_period di_clk_period ---------------------------------------------------- ? = accuracy 0.5 t diclk ? ?? 0.62ns ? =
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 107 the maximum accuracy of up/dow n edge of ipp_disp_data is: the disp_clk_period, di_clk_period parameters are register-controlled. figure 71 depicts the synchronous display interface ti ming for access level. the disp_clk_down and disp_clk_up parameters are register-controlled. table 71 lists the synchronous di splay interface timing characteristics. figure 71. synchronous display interface timing diagram?access level table 71. synchronous display interfa ce timing characteristics (access level) id parameter symbol min typ 1 1 the exact conditions have not been finalized, but will likely matc h the current customer requirement for their specific display . these conditions may be chip specific. max unit ip16 display interface clock low time tckl tdicd-tdicu-1.24 tdicd 2 -tdicu 3 2 display interface clock down time tdicd-tdicu+1.24 ns ip17 display interface clock high time tckh tdicp-tdicd+tdicu-1.24 tdicp-td icd+tdicu tdicp-tdicd+tdicu+1.2 ns ip18 data setup time tdsu tdicd-1.24 tdicu ? ns ip19 data holdup time tdhd tdicp-tdicd-1.24 tdicp-tdicu ? ns ip20o control signals offset times (defined for each pin) tocsu tocsu-1.24 tocsu tocsu+1.24 ns ip20 control signals setup time to display interface clock (defined for each pin) tcsu tdicd-1.24-tocsu%tdicp tdicu ? ns accuracy t diclk 0.62ns ? = ip19 ip18 ip20 vsync ip17 ip16 drdy hsync other controls ip20o local start point tdicd tdicu ipp_disp_clk ipp_data tdicd 1 2 -- -t diclk ceil ? 2 disp_clk_down ? di_clk_period ----------------------------------------------------------- ?? ?? =
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 108 freescale semiconductor electrical characteristics 4.11.11 lvds display bridge (ldb) module parameters the lvds interface complies with tia/eia 644-a standard. for more details, see tia/eia standard 644-a, ?electrical ch aracteristics of low voltage differenti al signaling (lvds) in terface circuits.? 4.11.12 mipi d-phy timing parameters this section describes mipi d-ph y electrical specificati ons, compliant with mipi csi-2 version 1.0, d-phy specification rev. 1.0 (for mipi sensor por t x4 lanes) and mipi dsi version 1.01, and d-phy specification rev. 1.0 (and also dpi version 2.0, dbi ve rsion 2.0, dsc version 1.0a at protocol layer) (for mipi display port x2 lanes). 4.11.12.1 electrical and timing information 3 display interface clock up time where ceil(x) rounds the elements of x to the nearest integers towards infinity. table 72. lvds display bridge (ldb) electrical specification parameter symbol test condition min max units differential voltage output voltage v od 100 ? differential load 250 450 mv output voltage high voh 100 ? differential load (0 v diff?output high voltage static) 1.25 1.6 mv output voltage low vol 100 ? differential load (0 v diff?output low voltage static) 0.9 1.25 mv offset static voltage v os two 49.9 ? resistors in series between n-p terminal, with output in either zero or one state, the voltage measured between the 2 resistors. 1.15 1.375 v vos differential v osdiff difference in v os between a one and a zero state -50 50 mv output short-circuited to gnd isa isb with the output common shorted to gnd -24 24 ma vt full load test vtload 100 ? differential load with a 3.74 k ? load between gnd and i/o supply voltage 247 454 mv table 73. electrical and timing information symbol parameters test co nditions min typ max unit input dc specifications?apply to ds i_clk_p/_n and dsi_data_p/_n inputs v i input signal voltage range transient voltage range is limited from -300 mv to 1600 mv -50 ? 1350 mv v leak input leakage current vgndsh(m in) = vi = vgndsh(max) + voh(absmax) lane module in lp receive mode -10 ? 10 ma v gndsh ground shift ? -50 ? 50 mv tdicu 1 2 -- -t diclk ceil ? 2 disp_clk_up ? di_clk_period ------------------------------------------------ ?? ?? =
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 109 v oh(absmax) maximum transient output voltage level ???1.45v t voh(absmax) maximum transient time above voh(absmax) ???20ns hs line drivers dc specifications |v od | hs transmit differential output voltage magnitude 80 ?? = rl< = 125 ? 140 200 270 mv ? |v od | change in differential output voltage magnitude between logic states 80 ?? = rl< = 125 ? ?? 10mv v cmtx steady-state common-mode output voltage. 80 ?? = rl< = 125 ? 150 200 250 mv ? v cmtx (1,0) changes in steady-state common-mode output voltage between logic states 80 ?? = rl< = 125 ? ?? 5 mv v ohhs hs output high voltage 80 ?? = rl< = 125 ? ??360mv z os single-ended output impedance. ? 40 50 62.5 ? ? z os single-ended output impedance mismatch. ???10% lp line drivers dc specifications v ol output low-level se voltage ? -50 50 mv v oh output high-level se voltage ? 1.1 1.2 1.3 v z olp single-ended output impedance. ? 110 ? ? ? ? z olp(01-10) single-ended output impedance mismatch driving opposite level ???20% ? z olp(0-11) single-ended output impedance mismatch driving same level ???5% hs line receiver dc specifications v idth differential input high voltage threshold ???70mv v idtl differential input low voltage threshold ?-70??mv v ihhs single ended input high voltage ???460mv table 73. electrical and timing information (continued) symbol parameters test co nditions min typ max unit
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 110 freescale semiconductor electrical characteristics 4.11.12.2 d-phy signaling levels the signal levels are different for differential hs m ode and single-ended lp mode. figure 72 shows both the hs and lp signal levels on the left and right sides, respectivel y. the hs signaling levels are below the lp low-level input threshold such that lp receiver always detects low on hs signals. figure 72. d-phy signaling levels v ilhs single ended input low voltage ?-40??mv v cmrxdc input common mode voltage ? 70 ? 330 mv z id differential input impedance ? 80 ? 125 ? lp line receiver dc specifications v il input low voltage ? ? ? 550 mv v ih input high voltage ? 920 ? ? mv v hyst input hysteresis ? 25 ? ? mv contention line rece iver dc specifications v ilf input low fault threshold ? 200 ? 450 mv table 73. electrical and timing information (continued) symbol parameters test co nditions min typ max unit hs vout range hs vcm range max v od min v od v cmtx,min v olhs v cmtx,max v ohhs lp v il lp v ol lp v ih v oh,max v oh,min v ih v il lp threshold region v gndsh,ma x v gndsh,min gnd lp v ol hs differential signaling lp single-ended signaling
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 111 4.11.12.3 hs line driver characteristics figure 73. ideal single-ended and re sulting differential hs signals 4.11.12.4 possible ? vcmtx and ? vod distortions of the single-ended hs signals figure 74. possible ? vcmtx and ? vod distortions of the single-ended hs signals 4.11.12.5 d-phy switch ing characteristics table 74. electrical and timing information symbol parameters test conditions min typ max unit hs line drivers ac specifications ? maximum serial data rate (forward direction) on datap/n outputs. 80 ? <= rl <= 125 ? 80 ? 1000 mbps f ddrclk ddr clk frequency on datap/n outputs. 40 ? 500 mhz p ddrclk ddr clk period 80 ??? = rl< = 125 ? 2 ? 25 ns v od(1) v od(1) v od(0) v od(0) v od = v dp - v dn v cmtx = (v dp + v dn )/2 0v (differential) v dn v dp ideal single-ended high speed signals ideal differential high speed signals ? v od(0) v od (1) v od /2 v od /2 v od (se hs signals) static v cmt x (se hs signals) dynamic v cmt x (se hs signals) v dn v cm tx v dp v dn v cmtx v dp v dn v cm tx v dp v od(0) ? ? ? ? ?
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 112 freescale semiconductor electrical characteristics t cdc ddr clk duty cycle t cdc ???? t cph ??? p ddrclk ?50?% t cph ddr clk high time ? ? 1 ? ui t cpl ddr clk low time ? ? 1 ? ui ? ddr clk / data jitter ? ? 75 ? ps pk-pk t skew[pn] intra-pair (pulse) skew ? ? 0.075 ? ui t skew[tx] data to clock skew ? 0.350 ? 0.650 ui t setup[rx] data to clock receiver setup time ? 0.15 ? ? ui t hold[rx] clock to data receiver hold time ? 0.15 ? ? ui t r differential output signal rise time 20% to 80%, rl = 50 ? 150 ? 0.3ui ps t f differential output signal fall time 20% to 80%, rl = 50 ? 150 ? 0.3ui ps ? v cmtx(hf) common level variation above 450 mhz 80 ? <= rl< = 125 ? ??15mv rms ? v cmtx(lf) common level variation between 50 mhz and 450 mhz 80 ? <= rl< = 125 ? ??25mv p lp line drivers ac specifications t rlp, t flp single ended output rise/fall time 15% to 85%, c l <70 pf ? ? 25 ns t reo ? 30% to 85%, c l <70 pf ? ? 35 ns ? v/ ? t sr signal slew rate 15% to 85%, c l <70 pf ? ? 120 mv/ns c l load capacitance ? 0 ? 70 pf hs line receiver ac specifications ? v cmrx(hf) common mode interference beyond 450 mhz ? ? ? 200 mvpp ? v cmrx(lf) common mode interference between 50 mhz and 450 mhz ?-50?50mvpp c cm common mode termination ? ? ? 60 pf lp line receiver ac specifications e spike input pulse rejection ? ? ? 300 vps t min minimum pulse response ? 50 ? ? ns v int pk-to-pk interference voltage ? ? ? 400 mv f int interference frequency ? 450 ? ? mhz model parameters used for driver load switching performance evaluation c pa d equivalent single ended i/o pad capacitance. ???1pf c pin equivalent single ended package + pcb capacitance. ???2pf table 74. electrical and timing information (continued) symbol parameters test conditions min typ max unit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 113 4.11.12.6 high-speed clock timing figure 75. ddr clock definition 4.11.12.7 forward high-speed data transmission timing the timing relationship of the ddr cl ock differential signal to the data differential signal is shown in figure 76 : figure 76. data to clock timing definitions 4.11.12.8 reverse high-speed data transmission timing figure 77. reverse high-speed data transmission timing at slave side l s equivalent wire bond series inductance ? ? ? 1.5 nh r s equivalent wire bond series resistance ? ? ? 0.15 ? r l load resistance ? 80 100 125 ? table 74. electrical and timing information (continued) symbol parameters test conditions min typ max unit 1 data bit time = 1ui ui inst (1) 1 data bit time = 1ui ui inst (2) clkp clkn 1 ddr clock period = ui inst (1) + ui inst (2) #,+p 2eference4ime 4 #,+p 4 3%450 4 (/,$ 5) ).34 4 3+%7 5) ).34 #,+n t td 2ui 2ui clkp clkn clock to data skew nrz data
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 114 freescale semiconductor electrical characteristics 4.11.12.9 low-power receiver timing figure 78. input glitch rejection of low-power receivers 4.11.13 hsi host contro ller timing parameters this section describes the timing parameters of the hsi host cont roller which are compliant with high-speed synchronous serial interface (hsi ) physical layer specification version 1.01. 4.11.13.1 synchronous data flow figure 79. synchronized data flow ready signa l timing (frame and stream transmission) 4.11.13.2 pipelined data flow figure 80. pipelined data flow ready signal timing (frame transmission mode) 2*t lpx 2*t lpx t min-rx t min-rx e spike e spike input output v ih v il n-bits frame n-bits frame first bit of frame t nombi t last bit of frame first bit of frame last bit of frame data flag ready receiver has detected the start of the frame receiver has captured and stored a complete frame n-bits frame last bit of frame data flag n-bits frame first bit of frame t nombit last bit of frame first bit of frame ready a ready can change b ready shall not change to zero last bit of frame c. ready can change d. ready shall maintain zero of if receiver does not have free space e. ready can change f. ready shall maintain its value g. ready can change
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 115 4.11.13.3 receiver real-time data flow figure 81. receiver real-time data flow ready signal timing 4.11.13.4 synchronized data flow transmission with wake figure 82. synchronized data flow transmission with wake 4.11.13.5 stream transmission mode frame transfer figure 83. stream transmission mode frame transfer (synchronized data flow) n-bits frame n-bits frame first bit of frame t nombi t last bit of frame first bit of frame last bit of frame data flag ready receiver has detected the start of the frame receiver has captured a complete frame data flag ready 1. transmitter has data to transmit 3. first bit received phy frame phy frame tx state rx state wake a a b b c c d d a a 2. receiver in active start state 4. received frame stored 5. transmitter has no more data to transmit 6. receiver can no longer receive date a: sleep state(non-operational) b: wake-up state c: active state (full operational) d: disable state(no communication ability) complete n-bits frame complete n-bits frame data flag ready channel description bits p a y l o a d d a t a b i t s
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 116 freescale semiconductor electrical characteristics 4.11.13.6 frame transmission mo de (synchronized data flow) figure 84. frame transmission mode transfer of two frames (synchronized data flow) 4.11.13.7 frame transmission mode (pipelined data flow) figure 85. frame transmission mode transfer of two frames (pipelined data flow) 4.11.13.8 data and flag signal timi ng requirement for a 15 pf load table 75. data and flag timing parameter description 1 mbit/s 100 mbit/s t bit, nom nominal bit time 1000 ns 10 ns t rise, min and t fall, min minimum allowed rise and fall time 2 ns 2 ns t txtorxskew, maxfq maximum skew between transmitter and receiver package pins 50 ns 0.5 ns t eageseptx, min minimum allowed separation of signal transitions at transmitter package pins, including all timing defects, for example, jitter and skew, inside the transmitter. 400 ns 4 ns t eageseprx, min minimum separation of signal transitions, measured at the receiver package pins, including all timing defects, for example, jitter and skew, inside the receiver. 350 ns 3.5 ns complete n-bits frame complete n-bits frame data flag ready channel description bits p a y l o a d d a t a b i t s frame start bit complete n-bits frame complete n-bits frame data flag ready channel description bits p a y l o a d d a t a b i t s frame start bit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 117 4.11.13.9 data and flag signal timing figure 86. data and flag signal timing 4.11.14 medialb (mlb) characteristics 4.11.14.1 medialb (mlb) dc characteristics table 76 lists the medialb 3-pin interf ace electrical characteristics. table 77 lists the medialb 6-pin interf ace electrical characteristics. table 76. medialb 3-pin interface electrical dc specifications parameter symbol test conditions min max unit maximum input voltage ? ? ? 3.6 v low level input threshold v il ??0.7v high level input threshold v ih see note 1 1 higher v ih thresholds can be used; however, the risks associat ed with less noise margin in the system must be evaluated and assumed by the customer. 1.8 ? v low level output threshold v ol i ol = 6 ma ? 0.4 v high level output threshold v oh i oh = ?6 ma 2.0 ? v input leakage current i l 0 < v in < vdd ? 10 ? a table 77. medialb 6-pin interface electrical dc specifications parameter symbol test conditions min max unit driver characteristics differential output vo ltage (steady-state): i v o+ - v o- i v od see note 1 300 500 mv difference in differential output voltage between (high/low) steady-states: i v od, high - v od, low i ? v od ? -50 50 mv data (tx) flag (tx) data (rx) flag (rx) 50% 50% 50% 50% 50% 50% 80% 80% 20% 20% 20% 20% 80% 80% t edgeseptx t txtorxskew t edgeseprx t bit t rise t fall note1 note1 note2 note2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 118 freescale semiconductor electrical characteristics common-mode output voltage: (v o+ - v o- ) / 2 v ocm ?1.01.5v difference in common-mode output between (high/low) steady-states: i v ocm, high - v ocm, low i ? v ocm ? -50 50 mv variations on common-mode output during a logic state transitions v cmv see note 2 ?150mvpp short circuit current |i os | see note 3 ?43ma differential output impedance z o ?1.6?k ? receiver characteristics differential clock input: ? logic low steady-state ? logic high steady-state ? hysteresis v ilc v ihc v hsc see note 4 50 -25 -50 25 mv mv mv differential signal/data input: ? logic low steady-state ? logic high steady-state v ils v ihs ? ? 50 -50 ? mv mv signal-ended input voltage (steady-state): ? mlb_sig_p, mlb_data_p ? mlb_sig_n, mlb_data_n v in+ v in- ? 0.5 0.5 2.0 2.0 v v 1 the signal-ended output voltage of a driver is defined as v o+ on mlb_clk_p, mlb_sig_p, and mlb_data_p. the signal-ended output voltage of a driver is defined as v o- on mlb_clk_n, mlb_si g_n, and mlb_data_n. 2 variations in the common-mode voltage can occur between logic st ates (for example, during stat e transitions) as a result of differences in the tr ansition rate of v o+ and v o- . 3 short circuit current is applicable when v o+ and v o- are shorted together a nd/or shorted to ground. 4 the logic state of the receiver is undefined when -50 mv < v id < 50 mv. table 77. medialb 6-pin interface electrical dc specifications (continued) parameter symbol test conditions min max unit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 119 4.11.14.2 medialb (mlb) controller ac timing electrical specifications this section describes the timing electrical information of the medialb module. figure 87 show the timing of medialb 3- pin interface, and table 78 and table 79 lists the medialb 3-pin interface timing characteristics. figure 87. medialb 3-pin timing ground = 0.0 v; load capacitance = 60 pf; medi alb speed = 256/512 fs; fs = 48 khz; all timing parameters specified from the valid voltage thre shold as listed below; unless otherwise noted. table 78. mlb 256/512 fs timing parameters parameter symbol min max unit comment mlb_clk operating frequency 1 f mck 11.264 25.6 mhz 256xfs at 44.0 khz 512xfs at 50.0 khz mlb_clk rise time t mckr ?3 ns v il to v ih mlb_clk fall time t mckf ?3 ns v ih to v il mlb_clk low time 2 t mckl 30 14 ? ns 256xfs 512xfs mlb_clk high time t mckh 30 14 ? ns 256xfs 512xfs mlb_sig/mlb_data receiver input valid to mlb_clk falling t dsmcf 1?ns ? mlb_sig/mlb_data receiver input hold from mlb_clk low t dhmcf t mdzh ?ns ? mlb_sig/mlb_data output high impedance from mlb_clk low t mcfdz 0t mckl ns (see 3 ) bus hold from mlb_clk low t mdzh 4?ns ?
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 120 freescale semiconductor electrical characteristics ground = 0.0 v; load capacitance = 40 pf; medi alb speed = 1024 fs; fs = 48 khz; all timing parameters specified from the vali d voltage threshold as listed in table 79 ; unless otherwise noted. table 80 lists the medialb 6-pin interf ace timing characteristics, and figure 88 shows the mlb 6-pin delay, setup, and hold times. 1 the controller can shut off mlb_clk to pl ace medialb in a low-power state. depending on the time the clock is shut off, a runt pulse can occur on mlb_clk. 2 mlb_clk low/high time includes the pulse width variation. 3 the medialb driver can release the mlb_data/mlb_sig line as soon as mlb_clk is low; however, the logic state of the final driven bit on the line must remain on the bus for t mdzh . therefore, coupling must be minimized while meeting the maximum load capacitance listed. table 79. mlb 1024 fs timing parameters parameter symbol min max unit comment mlb_clk operating frequency 1 1 the controller can shut off mlb_clk to place medialb in a low- power state. depending on the time the clock is shut off, a runt pulse can occur on mlb_clk. f mck 45.056 51.2 mhz 1024xfs at 44.0 khz 1024xfs at 50.0 khz mlb_clk rise time t mckr ?1nsv il to v ih mlb_clk fall time t mckf ?1nsv ih to v il mlb_clk low time t mckl 6.1 ? ns (see 2 ) 2 mlb_clk low/high time includes the pulse width variation. mlb_clk high time t mckh 9.3 ? ns ? mlb_sig/mlb_data receiver input valid to mlb_clk falling t dsmcf 1?ns ? mlb_sig/mlb_data re ceiver input hold from mlb_clk low t dhmcf t mdzh ?ns ? mlb_sig/mlb_data output high impedance from mlb_clk low t mcfdz 0t mckl ns (see 3 ) 3 the medialb driver can release the mlb_data/mlb_sig line as soon as mlb_clk is low; however, the logic state of the final driven bit on the line must remain on the bus for t mdzh . therefore, coupling must be mi nimized while meeting the maximum load capacitance listed. bus hold from mlb_clk low t mdzh 2?ns ? table 80. mlb 6-pin interface timing parameters parameter symbol min max unit comment cycle-to-cycle system jitter t jitter ? 600 ps ? transmitter mlb_sig_p/_n (mlb_data_p/_n) output valid from transition of mlb_clk_p/_n (low-to-high) 1 t delay 0.6 1.3 ns ? disable turnaround time from transition of mlb_clk_p/_n (low-to-high) t phz 0.6 3.5 ns ?
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 121 figure 88. mlb 6-pin delay, setup, and hold times 4.11.15 pcie phy parameters the pcie interface complies with pcie specification gen2 x1 lane and supports the pci express 1.1/2.0 standard. 4.11.15.1 pcie_rext reference resistor connection the impedance calibration pr ocess requires connection of reference resistor 200 ?? 1% precision resistor on pcie_rext pads to ground. it is used for termination imp edance calibration. enable turnaround time from transition of mlb_clk_p/_n (low-to-high) t plz 0.6 5.6 ns ? mlb_sig_p/_n (mlb_data_p/_n) valid to transition of mlb_clk_p/_n (low-to-high) t su 0.05 ? ns ? mlb_sig_p/_n (mlb_data_p/_n) hold from transition of mlb_clk_p/_n (low-to-high) 2 t hd 0.6 ? ns ? 1 t delay , t phz , t plz , t su , and t hd may also be referenced from a low-to-high transit ion of the recovered clock for 2:1 and 4:1 recov- ered-to-external clock ratios. 2 the transmitting device must ensure valid data on mlb_sig_p/_n (mlb_data_p/_n) for at least t hd(min) following the rising edge of mlbcp/n; receivers must latch ml b_sig_p/_n (mlb_data_p/_n) data within t hd(min) of the rising edge of mlb_clk_p/_n. table 80. mlb 6-pin interface timing parameters (continued) parameter symbol min max unit comment
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 122 freescale semiconductor electrical characteristics 4.11.16 pulse width modulato r (pwm) timing parameters this section describes the electrical information of the pwm. the pwm can be programmed to select one of three clock signals as its source frequency. the selected clock signal is passed through a prescaler before being input to the counter. the output is available at the pulse-width modulator output (pwmo) external pin. figure 89 depicts the timing of the pwm, and table 81 lists the pwm timing parameters. figure 89. pwm timing 4.11.17 sata phy parameters this section describes sata phy electrical specifications. 4.11.17.1 transmitter and re ceiver characteristics the sata phy meets or exceeds the electrical compliance requirements defined in the sata specifications. note the tables in the follow ing sections indicate any exceptions to the sata specification or aspects of the sata phy that exceed the standard, as well as provide information about parame ters not defined in the standard. the following subsections provide values obtaine d from a combination of simulations and silicon characterization. table 81. pwm output timing parameters id parameter min max unit ? pwm module clock frequency 0 ipg_clk mhz p1 pwm output pulse width high 15 ? ns p2 pwm output pulse width low 15 ? ns pwmn_out
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 123 4.11.17.1.1 sata phy tra nsmitter characteristics table 82 provides specifications for sata phy transm itter characteristics. 4.11.17.1.2 sata phy receiver characteristics table 83 provides specifications for sa ta phy receiver characteristics. 4.11.17.2 sata_rext reference resistor connection the impedance calibration pr ocess requires connection of reference resistor 191 ? . 1% precision resistor on sata_rext pad to ground. resistor calibration consists of learning which state of th e internal resistor calibr ation register causes an internal, digitally trimmed calibration resistor to best match the impedance applied to the sata_rext pin. the calibration register value is then supp lied to all tx and rx termination resistors. during the calibration process (for a few tens of microseconds), up to 0.3 mw can be dissipated in the external sata_rext resistor. at other times, no power is dissipated by the sata_rext resistor. 4.11.18 scan jtag controller (sjc) timing parameters figure 90 depicts the sjc test clock input timing. figure 91 depicts the sjc boundary scan timing. figure 92 depicts the sjc test access port. signal parameters are listed in table 84 . figure 90. test clock input timing diagram table 82. sata2 phy transmitter characteristics parameters symbol min typ max unit transmit common mode voltage v ctm 0.4 ? 0.6 v transmitter pre-emphasis accuracy (measured change in de-emphasized bit) ??0.5?0.5db table 83. sata phy receiver characteristics parameters symbol min typ max unit minimum rx eye height (differential peak-to-peak) v min_rx_eye_height 175 ? ? mv tolerance ppm ?400 ? 400 ppm jtag_tck (input) vm vm vih vil sj1 sj2 sj2 sj3 sj3
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 124 freescale semiconductor electrical characteristics figure 91. boundary scan (jtag) timing diagram figure 92. test access port timing diagram jtag_tck (input) data inputs data outputs data outputs data outputs vih vil input data valid output data valid output data valid sj4 sj5 sj6 sj7 sj6 jtag_tck (input) jtag_tdi (input) jtag_tdo (output) jtag_tdo (output) jtag_tdo (output) vih vil input data valid output data valid output data valid jtag_tms sj8 sj9 sj10 sj11 sj10
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 125 figure 93. jtag_trst_b timing diagram 4.11.19 spdif timing parameters the sony/philips digital interconnect format (spdif) data is sent usi ng the bi-phase marking code. when encoding, the spdif data signal is modulated by a clock that is twice the bit ra te of the data signal. table 85 and figure 94 and figure 95 show spdif timing parameters for the sony/philips digital interconnect format (spdif), including the timing of the modulating rx clock (spdif_sr_clk) for spdif in rx mode and the timing of the modulating tx clock (spdif _st_clk) for spdif in tx mode. table 84. jtag timing id parameter 1,2 all frequencies unit min max sj0 jtag_tck frequency of operation 1/(3xt dc ) 1 1 t dc = target frequency of sjc 0.001 22 mhz sj1 jtag_tck cycle time in crystal mode 45 ? ns sj2 jtag_tck clock pulse width measured at v m 2 2 v m = mid-point voltage 22.5 ? ns sj3 jtag_tck rise and fall times ? 3 ns sj4 boundary scan input data set-up time 5 ? ns sj5 boundary scan input data hold time 24 ? ns sj6 jtag_tck low to output data valid ? 40 ns sj7 jtag_tck low to output high impedance ? 40 ns sj8 jtag_tms, jtag_tdi data set-up time 5 ? ns sj9 jtag_tms, jtag_tdi data hold time 25 ? ns sj10 jtag_tck low to jtag_tdo data valid ? 44 ns sj11 jtag_tck low to jtag_tdo high impedance ? 44 ns sj12 jtag_trst_b assert time 100 ? ns sj13 jtag_trst_b set-up time to jtag_tck low 40 ? ns jtag_tck (input) (input) sj13 sj12 jtag_trst_b
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 126 freescale semiconductor electrical characteristics figure 94. spdif_sr_clk timing diagram figure 95. spdif_st_clk timing diagram table 85. spdif timing parameters parameter symbol timing parameter range unit min max spdif_in skew: asynchronous inputs, no specs apply ? ? 0.7 ns spdif_out output (load = 50pf) ?skew ? transition rising ? transition falling ? ? ? ? ? ? 1.5 24.2 31.3 ns spdif_out output (load = 30pf) ?skew ? transition rising ? transition falling ? ? ? ? ? ? 1.5 13.6 18.0 ns modulating rx clock (spdif_sr_clk) period srckp 40.0 ? ns spdif_sr_clk high period srckph 16.0 ? ns spdif_sr_clk low period srckpl 16.0 ? ns modulating tx clock (spdif_st_clk) period stclkp 40.0 ? ns spdif_st_clk high period stclkph 16.0 ? ns spdif_st_clk low pe riod stclkpl 16.0 ? ns spdif_sr_clk (output) v m v m srckp srckph srckpl spdif_st_clk (input) v m v m stclkp stclkph stclkpl
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 127 4.11.20 ssi timing parameters this section describes the timing parameters of the ssi module. the connectivity of the serial synchronous interfaces are summarized in table 86 . note the terms wl and bl used in the timi ng diagrams and tables refer to word length (wl) and bit length (bl). 4.11.20.1 ssi transmitter timing with internal clock figure 96 depicts the ssi transmitter internal clock timing and table 87 lists the timing parameters for the ssi transmitter internal clock. . figure 96. ssi transmitter internal clock timing diagram table 86. audmux port allocation port signal nomenclature type and access audmux port 1 ssi 1 internal audmux port 2 ssi 2 internal audmux port 3 aud3 external ? aud3 i/o audmux port 4 aud4 external ? eim or cspi1 i/o through iomuxc audmux port 5 aud5 external ? eim or sd1 i/o through iomuxc audmux port 6 aud6 external ? eim or disp2 through iomuxc audmux port 7 ssi 3 internal ss19 ss1 ss2 ss4 ss3 ss5 ss6 ss8 ss10 ss12 ss14 ss18 ss15 ss17 ss16 ss43 ss42 note: audx_rxd input in synchronous mode only audx_txc (output) audx_txfs (wl) (output) audx_txfs (bl) (output) audx_rxd (input) audx_txd (output)
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 128 freescale semiconductor electrical characteristics note ? all the timings for the ssi are give n for a non-inverted serial clock polarity (tsckp/rsckp = 0) a nd a non-inverted frame sync (tfsi/rfsi = 0). if the pol arity of the clock and/or the frame sync have been inverted, all the timing remains valid by inverti ng the clock signal audx_txc/audx_rxc and/or the frame sync audx_txfs/audx_rxfs shown in th e tables and in the figures. ? all timings are on audiomux pads wh en ssi is being used for data transfer. ? the terms, wl and bl, refer to word length(wl) and bit length(bl). ? for internal frame sync operation us ing external clock, the frame sync timing is the same as that of tran smit data (for example, during ac97 mode of operation). table 87. ssi transmitter timing with internal clock id parameter min max unit internal clock operation ss1 audx_txc/audx_rxc clock period 81.4 ? ns ss2 audx_txc/audx_rxc clock high period 36.0 ? ns ss4 audx_txc/audx_rxc clock low period 36.0 ? ns ss6 audx_txc high to audx_txfs (bl) high ? 15.0 ns ss8 audx_txc high to audx_txfs (bl) low ? 15.0 ns ss10 audx_txc high to audx_txfs (wl) high ? 15.0 ns ss12 audx_txc high to audx_txfs (wl) low ? 15.0 ns ss14 audx_txc/audx_rxc internal audx_txfs rise time ? 6.0 ns ss15 audx_txc/audx_rxc internal audx_txfs fall time ? 6.0 ns ss16 audx_txc high to audx_txd valid from high impedance ? 15.0 ns ss17 audx_txc high to audx_txd high/low ? 15.0 ns ss18 audx_txc high to audx_txd high impedance ? 15.0 ns synchronous internal clock operation ss42 audx_rxd setup before audx_txc falling 10.0 ? ns ss43 audx_rxd hold after audx_txc falling 0.0 ? ns
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 129 4.11.20.2 ssi receiver timing with internal clock figure 97 depicts the ssi receiver internal clock timing and table 88 lists the timing parameters for the receiver timing with the internal clock. figure 97. ssi receiver internal clock timing diagram table 88. ssi receiver timing with internal clock id parameter min max unit internal clock operation ss1 audx_txc/audx_rxc clock period 81.4 ? ns ss2 audx_txc/audx_rxc clock high period 36.0 ? ns ss3 audx_txc/audx_rxc clock rise time ? 6.0 ns ss4 audx_txc/audx_rxc clock low period 36.0 ? ns ss5 audx_txc/audx_rxc clock fall time ? 6.0 ns ss7 audx_rxc high to audx_txfs (bl) high ? 15.0 ns ss9 audx_rxc high to audx_txfs (bl) low ? 15.0 ns ss11 audx_rxc high to audx_txfs (wl) high ? 15.0 ns ss13 audx_rxc high to audx_txfs (wl) low ? 15.0 ns ss20 audx_rxd setup time before audx_rxc low 10.0 ? ns ss21 audx_rxd hold time after audx_rxc low 0.0 ? ns ss50 ss48 ss1 ss4 ss2 ss51 ss20 ss21 ss49 ss7 ss9 ss11 ss13 ss47 ss3 ss5 audx_txc (output) audx_txfs (bl) (output) audx_txfs (wl) (output) audx_rxd (input) audx_rxc (output)
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 130 freescale semiconductor electrical characteristics note ? all the timings for the ssi are give n for a non-inverted serial clock polarity (tsckp/rsckp = 0) a nd a non-inverted frame sync (tfsi/rfsi = 0). if the pol arity of the clock and/or the frame sync have been inverted, all the timing remains valid by inverti ng the clock signal audx_txc/audx_rxc and/or the frame sync audx_txfs/audx_rxfs shown in th e tables and in the figures. ? all timings are on audiomux pads wh en ssi is being used for data transfer. ? audx_txc and audx_rxc refer to the transmit and receive sections of the ssi. ? the terms, wl and bl, refer to word length (wl) and bit length(bl). ? for internal frame sync operation us ing external clock, the frame sync timing is same as that of transmit data (for ex ample, during ac97 mode of operation). oversampling cl ock operation ss47 oversampling clock period 15.04 ? ns ss48 oversampling clock high period 6.0 ? ns ss49 oversampling clock rise time ? 3.0 ns ss50 oversampling clock low period 6.0 ? ns ss51 oversampling clock fall time ? 3.0 ns table 88. ssi receiver timing with internal clock (continued) id parameter min max unit
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 131 4.11.20.3 ssi transmitter timing with external clock figure 98 depicts the ssi transmitte r external clock timing and table 89 lists the timing parameters for the transmitter timing wi th the external clock. figure 98. ssi transmitter exte rnal clock timing diagram table 89. ssi transmitter timing with external clock id parameter min max unit external clock operation ss22 audx_txc/audx_rxc clock period 81.4 ? ns ss23 audx_txc/audx_rxc clock high period 36.0 ? ns ss24 audx_txc/audx_rxc clock rise time ? 6.0 ns ss25 audx_txc/audx_rxc clock low period 36.0 ? ns ss26 audx_txc/audx_rxc clock fall time ? 6.0 ns ss27 audx_txc high to audx_txfs (bl) high ?10.0 15.0 ns ss29 audx_txc high to audx_txfs (bl) low 10.0 ? ns ss31 audx_txc high to audx_txfs (wl) high ?10.0 15.0 ns ss33 audx_txc high to audx_txfs (wl) low 10.0 ? ns ss37 audx_txc high to audx_txd valid from high impedance ? 15.0 ns ss38 audx_txc high to audx_txd high/low ? 15.0 ns ss39 audx_txc high to audx_txd high impedance ? 15.0 ns ss45 ss33 ss24 ss26 ss25 ss23 note: audx_rxd input in synchronous mode only ss31 ss29 ss27 ss22 ss44 ss39 ss38 ss37 ss46 audx_txc (input) audx_txfs (bl) (input) audx_txfs (wl) (input) audx_txd (output) audx_rxd (input)
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 132 freescale semiconductor electrical characteristics note ? all the timings for the ssi are give n for a non-inverted serial clock polarity (tsckp/rsckp = 0) a nd a non-inverted frame sync (tfsi/rfsi = 0). if the pol arity of the clock and/or the frame sync have been inverted, all the timing remains valid by inverti ng the clock signal audx_txc/audx_rxc and/or the frame sync audx_txfs/audx_rxfs shown in th e tables and in the figures. ? all timings are on audiomux pads wh en ssi is being used for data transfer. ? audx_txc and audx_rxc refer to the transmit and receive sections of the ssi. ? the terms wl and bl refer to wo rd length (wl) and bit length (bl). ? for internal frame sync operation us ing external clock, the frame sync timing is same as that of transmit data (for ex ample, during ac97 mode of operation). 4.11.20.4 ssi receiver timing with external clock figure 99 depicts the ssi receiver external clock timing and table 90 lists the timing parameters for the receiver timing with the external clock. figure 99. ssi receiver exte rnal clock timing diagram synchronous external clock operation ss44 audx_rxd setup before audx_txc falling 10.0 ? ns ss45 audx_rxd hold after audx_txc falling 2.0 ? ns ss46 audx_rxd rise/fall time ? 6.0 ns table 89. ssi transmitter timing with external clock (continued) id parameter min max unit ss24 ss34 ss35 ss30 ss28 ss26 ss25 ss23 ss40 ss22 ss32 ss36 ss41 audx_txc (input) audx_txfs (bl) (input) audx_txfs (wl) (input) audx_rxd (input)
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 133 note ? all the timings for the ssi are give n for a non-inverted serial clock polarity (tsckp/rsckp = 0) a nd a non-inverted frame sync (tfsi/rfsi = 0). if the pol arity of the clock and/or the frame sync have been inverted, all the timing remains valid by inverti ng the clock signal audx_txc/audx_rxc and/or the frame sync audx_txfs/audx_rxfs shown in th e tables and in the figures. ? all timings are on audiomux pads wh en ssi is being used for data transfer. ? audx_txc and audx_rxc refer to the transmit and receive sections of the ssi. ? the terms, wl and bl, refer to word length (wl) and bit length(bl). ? for internal frame sync operation us ing external clock, the frame sync timing is same as that of transmit data (for ex ample, during ac97 mode of operation). table 90. ssi receiver timing with external clock id parameter min max unit external clock operation ss22 audx_txc/audx_rxc clock period 81.4 ? ns ss23 audx_txc/audx_rxc clock high period 36 ? ns ss24 audx_txc/audx_rxc clock rise time ? 6.0 ns ss25 audx_txc/audx_rxc clock low period 36 ? ns ss26 audx_txc/audx_rxc clock fall time ? 6.0 ns ss28 audx_rxc high to audx_txfs (bl) high ?10 15.0 ns ss30 audx_rxc high to audx_txfs (bl) low 10 ? ns ss32 audx_rxc high to audx_txfs (wl) high ?10 15.0 ns ss34 audx_rxc high to audx_txfs (wl) low 10 ? ns ss35 audx_txc/audx_rxc external audx_txfs rise time ? 6.0 ns ss36 audx_txc/audx_rxc external audx_txfs fall time ? 6.0 ns ss40 audx_rxd setup time before audx_rxc low 10 ? ns ss41 audx_rxd hold time after audx_rxc low 2 ? ns
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 134 freescale semiconductor electrical characteristics 4.11.21 uart i/o configurat ion and timing parameters 4.11.21.1 uart rs-232 i/o configuration in different modes the i.mx 6dual/6quad uart interface s can serve both as dte or dce de vice. this can be configured by the dcedte control bit (default 0 ? dce mode). table 91 shows the uart i/o configuration based on the enabled mode. table 91. uart i/o configuration vs. mode port dte mode dce mode direction description direction description uartx_rts_b output rts from dte to dce input rts from dte to dce uartx_cts_b input cts from dce to dte output cts from dce to dte uartx_dtr_b output dtr from dte to dce input dtr from dte to dce uartx_dsr_b input dsr from dce to dte output dsr from dce to dte uartx_dcd_b input dcd from dce to dte output dcd from dce to dte uartx_ri_b input ring from dce to dte output ring from dce to dte uartx_tx_data input serial data from dce to dte output serial data from dce to dte uartx_rx_data output serial data from dte to dce input serial data from dte to dce
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 135 4.11.21.2 uart rs-232 serial mode timing the following sections describe the electrical information of the uart module in the rs-232 mode. 4.11.21.2.1 uart transmitter figure 100 depicts the transmit timing of uart in the rs-232 serial m ode, with 8 data bit/1 stop bit format. table 92 lists the uart rs-232 serial mode transmit timing characteristics. figure 100. uart rs-232 serial mode transmit timing diagram 4.11.21.2.2 uart receiver figure 101 depicts the rs-232 serial mode receive timing with 8 data bit/1 stop bit format. table 93 lists serial mode receive timing characteristics. figure 101. uart rs-232 serial mode receive timing diagram table 92. rs-232 serial mode transmit timing parameters id parameter symbol min max unit ua1 transmit bit time t tbit 1/f baud_rate 1 ? t ref_clk 2 1 f baud_rate : baud rate frequency. the maximum baud rate the uart can support is ( ipg_perclk frequency)/16. 2 t ref_clk : the period of uart reference clock ref_clk ( ipg_perclk after rfdiv divider). 1/f baud_rate + t ref_clk ? table 93. rs-232 serial mode receive timing parameters id parameter symbol min max unit ua2 receive bit time 1 1 the uart receiver can tolerate 1/(16 ? f baud_rate ) tolerance in each bit. but accumulation toleranc e in one frame must not exceed 3/(16 ? f baud_rate ). t rbit 1/f baud_rate 2 ? 1/(16 ? f baud_rate ) 2 f baud_rate : baud rate frequency. the maximum baud rate the uart can support is ( ipg_perclk frequency)/16. 1/f baud_rate + 1/(16 ? f baud_rate ) ? bit 1 bit 2 bit 0 bit 4 bit 5 bit 6 bit 7 uartx_tx_data (output) bit 3 start bit stop bit next start bit possible pa r i t y bit par bit ua1 ua1 ua1 ua1 bit 1 bit 2 bit 0 bit 4 bit 5 bit 6 bit 7 uartx_rx_data (input) bit 3 start bit stop bit next start bit possible pa r i t y bit par bit ua2 ua2 ua2 ua2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 136 freescale semiconductor electrical characteristics 4.11.21.2.3 uart irda mode timing the following subsections give the uart transmit and receive ti mings in irda mode. uart irda mode transmitter figure 102 depicts the uart irda mode transmit timing, with 8 da ta bit/1 stop bit format. table 94 lists the transmit timin g characteristics. figure 102. uart irda mode transmit timing diagram uart irda mode receiver figure 103 depicts the uart irda mode receive ti ming, with 8 data bit/1 stop bit format. table 95 lists the receive timing characteristics. figure 103. uart irda mode receive timing diagram table 94. irda mode transmit timing parameters id parameter symbol min max unit ua3 transmit bit time in irda mode t tirbit 1/f baud_rate 1 ? t ref_clk 2 1 f baud_rate : baud rate frequency. the maximum baud rate the uart can support is ( ipg_perclk frequency)/16. 2 t ref_clk : the period of uart reference clock ref_clk ( ipg_perclk after rfdiv divider). 1/f baud_rate + t ref_clk ? ua4 transmit ir pulse duration t tirpulse (3/16) ? (1/f baud_rate ) ? t ref_clk (3/16) ? (1/f baud_rate ) + t ref_clk ? table 95. irda mode receive timing parameters id parameter symbol min max unit ua5 receive bit time 1 in irda mode 1 the uart receiver can tolerate 1/(16 ? f baud_rate ) tolerance in each bit. but accumulation tolerance in one frame must not exceed 3/(16 ? f baud_rate ). t rirbit 1/f baud_rate 2 ? 1/(16 ? f baud_rate ) 2 f baud_rate : baud rate frequency. the maximum baud rate the uart can support is ( ipg_perclk frequency)/16. 1/f baud_rate + 1/(16 ? f baud_rate ) ? ua6 receive ir pulse duration t rirpulse 1.41 ? s (5/16) ? (1/f baud_rate )? bit 1 bit 2 bit 0 bit 4 bit 5 bit 6 bit 7 uartx_tx_data (output) bit 3 start bit stop bit possible pa r i t y bit ua3 ua3 ua3 ua3 ua4 bit 1 bit 2 bit 0 bit 4 bit 5 bit 6 bit 7 bit 3 stop bit possible pa r i t y bit ua5 ua5 ua5 ua5 ua6 start bit uartx_rx_data (input)
electrical characteristics i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 137 4.11.22 usb hsic timings this section describes the electrical information of the usb hsic port. note hsic is a ddr signal. the following timing specification is for both rising and falling edges. 4.11.22.1 transmit timing figure 104. usb hsic transmit waveform 4.11.22.2 receive timing figure 105. usb hsic receive waveform table 96. usb hsic transmit parameters name parameter min max unit comment tstrobe strobe period 4.166 4.167 ns ? todelay data output delay time 550 1350 ps measured at 50% point tslew strobe/data rising/falling time 0.7 2 v/ns averaged from 30% ? 70% points table 97. usb hsic receive parameters 1 1 the timings in the table are guaranteed when: ?ac i/o voltage is between 0.9x to 1x of the i/o supply ?ddr_sel configuration bits of the i/o are set to (10)b name parameter min max unit comment tstrobe strobe period 4.166 4.167 ns ? thold data hold time 300 ? ps measured at 50% point tsetup data setup time 365 ? ps measured at 50% point tslew strobe/data rising/falling time 0.7 2 v/ns averaged from 30% ? 70% points usb_h_strobe usb_h_data todelay tstrobe todelay usb_h_strobe usb_h_data thold tstrobe tsetup
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 138 freescale semiconductor electrical characteristics 4.11.23 usb phy parameters this section describes the usb-otg phy and the usb host port phy parameters. the usb phy meets the electrical compliance requireme nts defined in the univer sal serial bus revision 2.0 otg, usb host with the amendments below ( on-the-go and embedded host supplement to the usb revision 2.0 specification is not applicable to host port). ? usb engineering change notice ? title: 5v short circuit withstand requirement change ? applies to: universal serial bus specification, revision 2.0 ? errata for usb revision 2.0 april 27, 2000 as of 12/7/2000 ? usb engineering change notice ? title: pull-up/pull-down resistors ? applies to: universal serial bus specification, revision 2.0 ? usb engineering change notice ? title: suspend current limit changes ? applies to: universal serial bus specification, revision 2.0 ? usb engineering change notice ? title: usb 2.0 phase locked sofs ? applies to: universal serial bus specification, revision 2.0 ? on-the-go and embedded host supplement to the usb revision 2.0 specification ? revision 2.0 plus errata and ecn june 4, 2010 ? battery charging specificati on (available from usb-if) ? revision 1.2, december 7, 2010
boot mode configuration i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 139 5 boot mode configuration this section provides information on boot mode configuration pins allo cation and boot devices interfaces allocation. 5.1 boot mode configuration pins table 98 provides boot options, functionalit y, fuse values, and associated pi ns. several input pins are also sampled at reset and can be used to override fuse values, depending on the va lue of bt_fuse_sel fuse. the boot option pins are in effect when bt_fuse_sel fuse is ?0? (cleared, which is the case for an unblown fuse). for detailed boot mode options configured by th e boot mode pins, see the i.mx 6dual/6quad fuse map document and the system b oot chapter of the i.mx 6dual/6quad reference manual (imx6dqrm). table 98. fuses and associated pins used for boot pin direction at reset efuse name boot mode selection boot_mode1 input boot mode selection boot_mode0 input boot mode selection boot options 1 eim_da0 input boot_cfg1[0] eim_da1 input boot_cfg1[1] eim_da2 input boot_cfg1[2] eim_da3 input boot_cfg1[3] eim_da4 input boot_cfg1[4] eim_da5 input boot_cfg1[5] eim_da6 input boot_cfg1[6] eim_da7 input boot_cfg1[7] eim_da8 input boot_cfg2[0] eim_da9 input boot_cfg2[1] eim_da10 input boot_cfg2[2] eim_da11 input boot_cfg2[3] eim_da12 input boot_cfg2[4] eim_da13 input boot_cfg2[5] eim_da14 input boot_cfg2[6] eim_da15 input boot_cfg2[7] eim_a16 input boot_cfg3[0] eim_a17 input boot_cfg3[1]
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 140 freescale semiconductor boot mode configuration 5.2 boot devices interfaces allocation table 99 lists the interfaces that can be used by the boot process in accordance with the specific boot mode configuration. the table also describes the interface?s specif ic modes and iomuxc allocation, which are configured during boot when appropriate. eim_a18 input boot_cfg3[2] eim_a19 input boot_cfg3[3] eim_a20 input boot_cfg3[4] eim_a21 input boot_cfg3[5] eim_a22 input boot_cfg3[6] eim_a23 input boot_cfg3[7] eim_a24 input boot_cfg4[0] eim_wait input boot_cfg4[1] eim_lba input boot_cfg4[2] eim_eb0 input boot_cfg4[3] eim_eb1 input boot_cfg4[4] eim_rw input boot_cfg4[5] eim_eb2 input boot_cfg4[6] eim_eb3 input boot_cfg4[7] 1 pin value overrides fuse settings for bt_fuse_sel = ?0?. signal configuration as fuse override input at power up. these are special i/o lines that control the boot up configuration during product development. in production, the boot configuration can be controlled by fuses. table 99. interfaces allocation during boot interface ip instance allocated pads during boot comment spi ecspi-1 eim_d17, eim_d18, eim_d16, eim_eb2, eim_d19, eim_d24, eim_d25 ? spi ecspi-2 csi0_dat10, csi0_dat 9, csi0_dat8, csi0_dat11, eim_lba, eim_d24, eim_d25 ? spi ecspi-3 disp0_dat2, di sp0_dat1, disp0_dat0, disp0_dat3, disp0_dat4, disp0_dat5, disp0_dat6 ? spi ecspi-4 eim_d22, eim_d28, ei m_d21, eim_d20, eim_a25, eim_d24, eim_d25 ? spi ecspi-5 sd1_dat0, sd1_cmd, sd1_clk, sd1_dat1, sd1_dat2, sd1_dat3, sd2_dat3 ? eim eim eim_da[15:0], eim_d[31:16], csi0_dat[19:4], csi0_data_en, csi0_vsync used for nor, onenand boot only cs0 is supported table 98. fuses and associated pins used for boot (continued) pin direction at reset efuse name
boot mode configuration i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 141 nand flash gpmi nandf_cle, nandf_ale, nandf_wp_b, sd4_cmd, sd4_clk, nandf_rb0, sd4_dat0, nandf_cs0, nandf_cs1, nandf_cs2, nandf_cs3, nandf_d[7:0] 8 bit only cs0 is supported sd/mmc usdhc-1 sd1_clk, sd1_ cmd,sd1_dat0, sd1_dat1, sd1_dat2, sd1_dat3, nandf_d0, nandf_d1, nandf_d2, nandf_d3, key_col1 1, 4, or 8 bit sd/mmc usdhc-2 sd2_clk, sd2_cmd, sd2_dat0, sd2_dat1, sd2_dat2, sd2_dat3, nandf_d4, nandf_d5, nandf_d6, nandf_d7, key_row1 1, 4, or 8 bit sd/mmc usdhc-3 sd3_clk, sd3_cmd, sd3_dat0, sd3_dat1, sd3_dat2, sd3_dat3, sd3_dat4, sd3_dat5, sd3_dat6, sd3_dat7, gpio_18 1, 4, or 8 bit sd/mmc usdhc-4 sd4_clk, sd4_cmd, sd4_dat0, sd4_dat1, sd4_dat2, sd4_dat3, sd4_dat4, sd4_dat5, sd4_dat6, sd4_dat7, nandf_cs1 1, 4, or 8 bit i2c i2c-1 eim_d28, eim_d21 ? i2c i2c-2 eim_d16, eim_eb2 ? i2c i2c-3 eim_d18, eim_d17 ? sata sata_phy sata_txm, sata_txp, sata_rxp, sata_rxm, sata_rext ? usb usb-otg phy usb_otg_dp usb_otg_dn usb_otg_vbus ? table 99. interfaces allocation during boot (continued) interface ip instance allocated pads during boot comment
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 142 freescale semiconductor package information and contact assignments 6 package information and contact assignments this section includes the contact assignment information and mechanical package drawing. 6.1 updated signal naming convention the signal names of the i.mx6 series of products have been standardized to bett er align the signal names within the family and across the documentation. some of the benefits of thes e changes are as follows: ? the names are unique within the scope of an soc and within the series of products ? searches will return all occurrences of the named signal ? the names are consistent be tween i.mx 6 series products implementing the same modules ? the module instance is incorporated into the signal name this change applies only to signal na mes. the original ball names have been preserved to prevent the need to change schematics, bsdl models, ibis models, etc. throughout this document, the updated signal names are used except where referenced as a ball name (such as the functional contact assignm ents table, ball map table, and so on). a master list of the signal name changes is in the document, imx 6 series signal name mapping (eb792). this list can be used to map the signal names used in older documentati on to the new standardized naming conventions. 6.2 21 x 21 mm package information 6.2.1 case fcpbga, 21 x 21 mm, 0. 8 mm pitch, 25 x 25 ball matrix
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 143 6.2.1.1 21 x 21 mm lidded package figure 106 shows the top, bottom, a nd side views of the 21 ?? 21 mm lidded package.
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 144 freescale semiconductor package information and contact assignments figure 106. 21 x 21 mm lidded package top, bottom, and side views
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 145 6.2.2 21 x 21 mm ground, power, sense, and reference contact assignments table 100 shows the device connection list for ground, power, sense, and refe rence contact signals. table 100. 21 x 21 mm supplies contact assignment supply rail name ball(s ) position(s) remark csi_rext d4 ? dram_vref ac2 ? dsi_rext g4 ? fa_ana a5 ? gnd a13, a25, a4, a8, aa10, aa13, aa16, aa19, aa22, ad4, d3, f8, j15, l10, m15, p1 5, t15, u8, w17, aa7, ad7, d6, g10, j18, l12, m18, p18, t17, v19, w18, ab24, ae1, d8, g19, j2, l15, m8, p8, t19, v8, w19, ab3, ae25, e5, g3, j8, l18, n10, r12, t8, w10, w3, ad10, b4, e6, h12, k10, l2, n15, r15, u11, w11, w7, ad13, c1, e7, h15, k12, l5, n18, r17, u12, w12, w8, ad16, c10, f5, h18, k15, l8, n8, r8, u15, w13, w9, ad19, c4, f6, h8, k18, m10, p10, t11, u17, w15, y24, ad22, c6, f7, j12, k8, m12, p12, t12, u19, w16, y5 ? gpanaio c8 ? hdmi_ddccec k2 analog ground reference for the hot plug detect signal hdmi_ref j1 ? hdmi_ddccec k2 analog ground reference for the hot plug detect signal. hdmi_vp l7 ? hdmi_vph m7 ? nvcc_csi n7 supply of the camera sensor interface nvcc_dram r18, t18, u18, v10, v11, v12, v13, v14, v15, v16, v17, v18, v9 supply of the ddr interface nvcc_eim0 k19 supply of the eim interface nvcc_eim1 l19 supply of the eim interface nvcc_eim2 m19 supply of the eim interface nvcc_enet r19 supply of the enet interface nvcc_gpio p7 supply of the gpio interface nvcc_jtag j7 supply of the jtag tap controller interface nvcc_lcd p19 supply of the lcd interface
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 146 freescale semiconductor package information and contact assignments nvcc_lvds2p5 v7 supply of the lvds display interface and ddr pre-drivers. even if the lvds interface is not used, this supply must remain powered. nvcc_mipi k7 supply of the mipi interface nvcc_nandf g15 supply of the raw nand flash memories interface nvcc_pll_out e8 ? nvcc_rgmii g18 supply of the enet interface nvcc_sd1 g16 supply of the sd card interface nvcc_sd2 g17 supply of the sd card interface nvcc_sd3 g14 supply of the sd card interface pcie_vp h7 ? pcie_rext a2 ? pcie_vph g7 pci phy supply pcie_vptx g8 pci phy supply sata_rext c14 ? sata_vp g13 ? sata_vph g12 ? usb_h1_vbus d10 ? usb_otg_vbus e9 ? vdd_cache_cap n12 cache supply input. this input should be connected to (driven by) vdd_soc_cap. the external capacitor used for vdd_soc_cap is sufficient for this supply. vdd_fa b5 ? vdd_snvs_cap g9 secondary supply for the snvs (internal regulator output?requires capacitor if internal regulator is used) vdd_snvs_in g11 primary supply for the snvs regulator vddarm_cap h13, j13, k13, l13, m13, n13, p13, r13 secondary supply for the arm0 and arm1 cores (internal regulator output?requires capacitor if internal regulator is used) vddarm_in h14, j14, k14, l14, m14, n14, p14, r14 primary supply for the arm0 and arm1 core regulator table 100. 21 x 21 mm supplies contact assignment (continued) supply rail name ball(s ) position(s) remark
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 147 table 101 displays an alpha-sorted list of the signal a ssignments including power rails. the table also includes out of reset pad state. vddarm23_cap h11, j11, k11, l11, m11, n11, p11, r11 secondary supply for the arm2 and arm3 cores (internal regulator output?requires capacitor if internal regulator is used) vddarm23_in k9, l9, m9, n9, p9, r9, t9, u9 primary supply for the arm2 and arm3 core regulator vddhigh_cap h10, j10 secondary supply for the 2.5 v domain (internal regulator output?requires capacitor if internal regulator is used) vddhigh_in h9, j9 primary supply for the 2.5 v regulator vddpu_cap h17, j17, k17, l17, m17, n17, p17 secondary supply for the vpu and gpu (internal regulator output? requires capacitor if internal regulator is used) vddsoc_cap r10, t10, t13, t14, u10, u 13, u14 secondary supply for the soc and pu (internal regulator output?requires capacitor if internal regulator is used) vddsoc_in h16, j16, k16, l16, m16, n16, p16, r16, t16, u16 primary supply for the soc and pu regulators vddusb_cap f9 secondary supply for the 3 v domain (internal regulator output?requires capacitor if internal regulator is used) zqpad ae17 ? table 101. 21 x 21 mm functional contact assignments ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2 boot_mode0 c12 vdd_snvs_in gpio alt 0 src_boot_mode0 input pd (100k) boot_mode1 f12 vdd_snvs_in gpio alt 0 src_boot_mode1 input pd (100k) clk1_n c7 vdd_high_cap ? ? clk1_n ? ? clk1_p d7 vdd_high_cap ? ? clk1_p ? ? clk2_n c5 vdd_high_cap ? ? clk2_n ? ? clk2_p d5 vdd_high_cap ? ? clk2_p ? ? csi_clk0m f4 nvcc_mipi ? ? csi_clk_n ? ? csi_clk0p f3 nvcc_mipi ? ? csi_clk_p ? ? table 100. 21 x 21 mm supplies contact assignment (continued) supply rail name ball(s ) position(s) remark
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 148 freescale semiconductor package information and contact assignments csi_d0m e4 nvcc_mipi ? ? csi_data0_n ? ? csi_d0p e3 nvcc_mipi ? ? csi_data0_p ? ? csi_d1m d1 nvcc_mipi ? ? csi_data1_n ? ? csi_d1p d2 nvcc_mipi ? ? csi_data1_p ? ? csi_d2m e1 nvcc_mipi ? ? csi_data2_n ? ? csi_d2p e2 nvcc_mipi ? ? csi_data2_p ? ? csi_d3m f2 nvcc_mipi ? ? csi_data3_n ? ? csi_d3p f1 nvcc_mipi ? ? csi_data3_p ? ? csi0_dat10 m1 nvcc_csi gpio alt5 ipu1_csi0_data10 input pu (100k) csi0_dat11 m3 nvcc_csi gpio alt5 ipu1_csi0_data11 input pu (100k) csi0_dat12 m2 nvcc_csi gpio alt5 ipu1_csi0_data12 input pu (100k) csi0_dat13 l1 nvcc_csi gpio alt5 ipu1_csi0_data13 input pu (100k) csi0_dat14 m4 nvcc_csi gpio alt5 ipu1_csi0_data14 input pu (100k) csi0_dat15 m5 nvcc_csi gpio alt5 ipu1_csi0_data15 input pu (100k) csi0_dat16 l4 nvcc_csi gpio alt5 ipu1_csi0_data16 input pu (100k) csi0_dat17 l3 nvcc_csi gpio alt5 ipu1_csi0_data17 input pu (100k) csi0_dat18 m6 nvcc_csi gpio alt5 ipu1_csi0_data18 input pu (100k) csi0_dat19 l6 nvcc_csi gpio alt5 ipu1_csi0_data19 input pu (100k) csi0_dat4 n1 nvcc_csi gpio alt5 ipu1_csi0_data04 input pu (100k) csi0_dat5 p2 nvcc_csi gpio alt5 ipu1_csi0_data05 input pu (100k) csi0_dat6 n4 nvcc_csi gpio alt5 ipu1_csi0_data06 input pu (100k) csi0_dat7 n3 nvcc_csi gpio alt5 ipu1_csi0_data07 input pu (100k) csi0_dat8 n6 nvcc_csi gpio alt5 ipu1_csi0_data08 input pu (100k) csi0_dat9 n5 nvcc_csi gpio alt5 ipu1_csi0_data09 input pu (100k) csi0_data_en p3 nvcc_csi gpio alt5 ipu1_csi0_data_en input pu (100k) csi0_mclk p4 nvcc_csi gpio alt5 ipu1_csi0_hsync input pu (100k) csi0_pixclk p1 nvcc_csi gpio alt5 ipu1_csi0_pixclk input pu (100k) csi0_vsync n2 nvcc_csi gpio alt5 ipu1_csi0_vsync input pu (100k) di0_disp_clk n19 nvcc_lcd gpio alt5 ipu1_di0_disp_clk input pu (100k) di0_pin15 n21 nvcc_lcd gpio alt5 ipu1_di0_pin15 input pu (100k) di0_pin2 n25 nvcc_lcd gpio alt5 ipu1_di0_pin02 input pu (100k) di0_pin3 n20 nvcc_lcd gpio alt5 ipu1_di0_pin03 input pu (100k) di0_pin4 p25 nvcc_lcd gpio alt5 ipu1_di0_pin04 input pu (100k) disp0_dat0 p24 nvcc_lcd gpio alt5 ipu1_disp0_data00 input pu (100k) disp0_dat1 p22 nvcc_lcd gpio alt5 ipu1_disp0_data01 input pu (100k) disp0_dat10 r21 nvcc_lcd gpio alt5 i pu1_disp0_data10 input pu (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 149 disp0_dat11 t23 nvcc_lcd gpio alt5 i pu1_disp0_data11 input pu (100k) disp0_dat12 t24 nvcc_lcd gpio alt5 i pu1_disp0_data12 input pu (100k) disp0_dat13 r20 nvcc_lcd gpio alt5 i pu1_disp0_data13 input pu (100k) disp0_dat14 u25 nvcc_lcd gpio alt5 i pu1_disp0_data14 input pu (100k) disp0_dat15 t22 nvcc_lcd gpio alt5 i pu1_disp0_data15 input pu (100k) disp0_dat16 t21 nvcc_lcd gpio alt5 i pu1_disp0_data16 input pu (100k) disp0_dat17 u24 nvcc_lcd gpio alt5 i pu1_disp0_data17 input pu (100k) disp0_dat18 v25 nvcc_lcd gpio alt5 i pu1_disp0_data18 input pu (100k) disp0_dat19 u23 nvcc_lcd gpio alt5 i pu1_disp0_data19 input pu (100k) disp0_dat2 p23 nvcc_lcd gpio alt5 ipu1_disp0_data02 input pu (100k) disp0_dat20 u22 nvcc_lcd gpio alt5 i pu1_disp0_data20 input pu (100k) disp0_dat21 t20 nvcc_lcd gpio alt5 i pu1_disp0_data21 input pu (100k) disp0_dat22 v24 nvcc_lcd gpio alt5 i pu1_disp0_data22 input pu (100k) disp0_dat23 w24 nvcc_lcd gpio alt5 i pu1_disp0_data23 input pu (100k) disp0_dat3 p21 nvcc_lcd gpio alt5 ipu1_disp0_data03 input pu (100k) disp0_dat4 p20 nvcc_lcd gpio alt5 ipu1_disp0_data04 input pu (100k) disp0_dat5 r25 nvcc_lcd gpio alt5 ipu1_disp0_data05 input pu (100k) disp0_dat6 r23 nvcc_lcd gpio alt5 ipu1_disp0_data06 input pu (100k) disp0_dat7 r24 nvcc_lcd gpio alt5 ipu1_disp0_data07 input pu (100k) disp0_dat8 r22 nvcc_lcd gpio alt5 ipu1_disp0_data08 input pu (100k) disp0_dat9 t25 nvcc_lcd gpio alt5 ipu1_disp0_data09 input pu (100k) dram_a0 ac14 nvcc_dram ddr alt0 dram_addr00 output 0 dram_a1 ab14 nvcc_dram ddr alt0 dram_addr01 output 0 dram_a10 aa15 nvcc_dram ddr alt0 dram_addr10 output 0 dram_a11 ac12 nvcc_dram ddr alt0 dram_addr11 output 0 dram_a12 ad12 nvcc_dram ddr alt0 dram_addr12 output 0 dram_a13 ac17 nvcc_dram ddr alt0 dram_addr13 output 0 dram_a14 aa12 nvcc_dram ddr alt0 dram_addr14 output 0 dram_a15 y12 nvcc_dram ddr alt0 dram_addr15 output 0 dram_a2 aa14 nvcc_dram ddr alt0 dram_addr02 output 0 dram_a3 y14 nvcc_dram ddr alt0 dram_addr03 output 0 dram_a4 w14 nvcc_dram ddr alt0 dram_addr04 output 0 dram_a5 ae13 nvcc_dram ddr alt0 dram_addr05 output 0 dram_a6 ac13 nvcc_dram ddr alt0 dram_addr06 output 0 dram_a7 y13 nvcc_dram ddr alt0 dram_addr07 output 0 dram_a8 ab13 nvcc_dram ddr alt0 dram_addr08 output 0 table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 150 freescale semiconductor package information and contact assignments dram_a9 ae12 nvcc_dram ddr alt0 dram_addr09 output 0 dram_cas ae16 nvcc_dram ddr alt0 dram_cas_b output 0 dram_cs0 y16 nvcc_dram ddr alt0 dram_cs0_b output 0 dram_cs1 ad17 nvcc_dram ddr alt0 dram_cs1_b output 0 dram_d0 ad2 nvcc_dram ddr alt0 dram_data00 input pu (100k) dram_d1 ae2 nvcc_dram ddr alt0 dram_data01 input pu (100k) dram_d10 aa6 nvcc_dram ddr alt0 dram_data10 input pu (100k) dram_d11 ae7 nvcc_dram ddr alt0 dram_data11 input pu (100k) dram_d12 ab5 nvcc_dram ddr alt0 dram_data12 input pu (100k) dram_d13 ac5 nvcc_dram ddr alt0 dram_data13 input pu (100k) dram_d14 ab6 nvcc_dram ddr alt0 dram_data14 input pu (100k) dram_d15 ac7 nvcc_dram ddr alt0 dram_data15 input pu (100k) dram_d16 ab7 nvcc_dram ddr alt0 dram_data16 input pu (100k) dram_d17 aa8 nvcc_dram ddr alt0 dram_data17 input pu (100k) dram_d18 ab9 nvcc_dram ddr alt0 dram_data18 input pu (100k) dram_d19 y9 nvcc_dram ddr alt0 dram_data19 input pu (100k) dram_d2 ac4 nvcc_dram ddr alt0 dram_data02 input pu (100k) dram_d20 y7 nvcc_dram ddr alt0 dram_data20 input pu (100k) dram_d21 y8 nvcc_dram ddr alt0 dram_data21 input pu (100k) dram_d22 ac8 nvcc_dram ddr alt0 dram_data22 input pu (100k) dram_d23 aa9 nvcc_dram ddr alt0 dram_data23 input pu (100k) dram_d24 ae9 nvcc_dram ddr alt0 dram_data24 input pu (100k) dram_d25 y10 nvcc_dram ddr alt0 dram_data25 input pu (100k) dram_d26 ae11 nvcc_dram ddr alt0 dram_data26 input pu (100k) dram_d27 ab11 nvcc_dram ddr alt0 dram_data27 input pu (100k) dram_d28 ac9 nvcc_dram ddr alt0 dram_data28 input pu (100k) dram_d29 ad9 nvcc_dram ddr alt0 dram_data29 input pu (100k) dram_d3 aa5 nvcc_dram ddr alt0 dram_data03 input pu (100k) dram_d30 ad11 nvcc_dram ddr alt0 dram_data30 input pu (100k) dram_d31 ac11 nvcc_dram ddr alt0 dram_data31 input pu (100k) dram_d32 aa17 nvcc_dram ddr alt0 dram_data32 input pu (100k) dram_d33 aa18 nvcc_dram ddr alt0 dram_data33 input pu (100k) dram_d34 ac18 nvcc_dram ddr alt0 dram_data34 input pu (100k) dram_d35 ae19 nvcc_dram ddr alt0 dram_data35 input pu (100k) dram_d36 y17 nvcc_dram ddr alt0 dram_data36 input pu (100k) dram_d37 y18 nvcc_dram ddr alt0 dram_data37 input pu (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 151 dram_d38 ab19 nvcc_dram ddr alt0 dram_data38 input pu (100k) dram_d39 ac19 nvcc_dram ddr alt0 dram_data39 input pu (100k) dram_d4 ac1 nvcc_dram ddr alt0 dram_data04 input pu (100k) dram_d40 y19 nvcc_dram ddr alt0 dram_data40 input pu (100k) dram_d41 ab20 nvcc_dram ddr alt0 dram_data41 input pu (100k) dram_d42 ab21 nvcc_dram ddr alt0 dram_data42 input pu (100k) dram_d43 ad21 nvcc_dram ddr alt0 dram_data43 input pu (100k) dram_d44 y20 nvcc_dram ddr alt0 dram_data44 input pu (100k) dram_d45 aa20 nvcc_dram ddr alt0 dram_data45 input pu (100k) dram_d46 ae21 nvcc_dram ddr alt0 dram_data46 input pu (100k) dram_d47 ac21 nvcc_dram ddr alt0 dram_data47 input pu (100k) dram_d48 ac22 nvcc_dram ddr alt0 dram_data48 input pu (100k) dram_d49 ae22 nvcc_dram ddr alt0 dram_data49 input pu (100k) dram_d5 ad1 nvcc_dram ddr alt0 dram_data05 input pu (100k) dram_d50 ae24 nvcc_dram ddr alt0 dram_data50 input pu (100k) dram_d51 ac24 nvcc_dram ddr alt0 dram_data51 input pu (100k) dram_d52 ab22 nvcc_dram ddr alt0 dram_data52 input pu (100k) dram_d53 ac23 nvcc_dram ddr alt0 dram_data53 input pu (100k) dram_d54 ad25 nvcc_dram ddr alt0 dram_data54 input pu (100k) dram_d55 ac25 nvcc_dram ddr alt0 dram_data55 input pu (100k) dram_d56 ab25 nvcc_dram ddr alt0 dram_data56 input pu (100k) dram_d57 aa21 nvcc_dram ddr alt0 dram_data57 input pu (100k) dram_d58 y25 nvcc_dram ddr alt0 dram_data58 input pu (100k) dram_d59 y22 nvcc_dram ddr alt0 dram_data59 input pu (100k) dram_d6 ab4 nvcc_dram ddr alt0 dram_data06 input pu (100k) dram_d60 ab23 nvcc_dram ddr alt0 dram_data60 input pu (100k) dram_d61 aa23 nvcc_dram ddr alt0 dram_data61 input pu (100k) dram_d62 y23 nvcc_dram ddr alt0 dram_data62 input pu (100k) dram_d63 w25 nvcc_dram ddr alt0 dram_data63 input pu (100k) dram_d7 ae4 nvcc_dram ddr alt0 dram_data07 input pu (100k) dram_d8 ad5 nvcc_dram ddr alt0 dram_data08 input pu (100k) dram_d9 ae5 nvcc_dram ddr alt0 dram_data09 input pu (100k) dram_dqm0 ac3 nvcc_dram ddr alt0 dram_dqm0 output 0 dram_dqm1 ac6 nvcc_dram ddr alt0 dram_dqm1 output 0 dram_dqm2 ab8 nvcc_dram ddr alt0 dram_dqm2 output 0 dram_dqm3 ae10 nvcc_dram ddr alt0 dram_dqm3 output 0 table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 152 freescale semiconductor package information and contact assignments dram_dqm4 ab18 nvcc_dram ddr alt0 dram_dqm4 output 0 dram_dqm5 ac20 nvcc_dram ddr alt0 dram_dqm5 output 0 dram_dqm6 ad24 nvcc_dram ddr alt0 dram_dqm6 output 0 dram_dqm7 y21 nvcc_dram ddr alt0 dram_dqm7 output 0 dram_ras ab15 nvcc_dram ddr alt0 dram_ras_b output 0 dram_reset y6 nvcc_dram ddr alt0 dram_reset output 0 dram_sdba0 ac15 nvcc_dram ddr alt0 dram_sdba0 output 0 dram_sdba1 y15 nvcc_dram ddr alt0 dram_sdba1 output 0 dram_sdba2 ab12 nvcc_dram ddr alt0 dram_sdba2 output 0 dram_sdcke0 y11 nvcc_dram ddr alt0 dram_sdcke0 output 0 dram_sdcke1 aa11 nvcc_dram ddr alt0 dram_sdcke1 output 0 dram_sdclk_0 ad15 nvcc_dram ddrclk alt0 dram_sdclk0_p input hi-z dram_sdclk_0_b ae15 nvcc_dram ddrclk ? dram_sdclk0_n ? ? dram_sdclk_1 ad14 nvcc_dram ddrclk alt0 dram_sdclk1_p input hi-z dram_sdclk_1_b ae14 nvcc_dram ddrclk ? dram_sdclk1_n ? ? dram_sdodt0 ac16 nvcc_dram ddr alt0 dram_odt0 output 0 dram_sdodt1 ab17 nvcc_dram ddr alt0 dram_odt1 output 0 dram_sdqs0 ae3 nvcc_dram ddrclk alt0 dram_sdqs0_p input hi-z dram_sdqs0_b ad3 nvcc_dram ddrclk ? dram_sdqs0_n ? ? dram_sdqs1 ad6 nvcc_dram ddrclk alt0 dram_sdqs1_p input hi-z dram_sdqs1_b ae6 nvcc_dram ddrclk ? dram_sdqs1_n ? ? dram_sdqs2 ad8 nvcc_dram ddrclk alt0 dram_sdqs2_p input hi-z dram_sdqs2_b ae8 nvcc_dram ddrclk ? dram_sdqs2_n ? ? dram_sdqs3 ac10 nvcc_dram ddrclk alt0 dram_sdqs3_p input hi-z dram_sdqs3_b ab10 nvcc_dram ddrclk ? dram_sdqs3_n ? ? dram_sdqs4 ad18 nvcc_dram ddrclk alt0 dram_sdqs4_p input hi-z dram_sdqs4_b ae18 nvcc_dram ddrclk ? dram_sdqs4_n ? ? dram_sdqs5 ad20 nvcc_dram ddrclk alt0 dram_sdqs5_p input hi-z dram_sdqs5_b ae20 nvcc_dram ddrclk ? dram_sdqs5_n ? ? dram_sdqs6 ad23 nvcc_dram ddrclk alt0 dram_sdqs6_p input hi-z dram_sdqs6_b ae23 nvcc_dram ddrclk ? dram_sdqs6_n ? ? dram_sdqs7 aa25 nvcc_dram ddrclk alt0 dram_sdqs7_p input hi-z dram_sdqs7_b aa24 nvcc_dram ddrclk ? dram_sdqs7_n ? ? dram_sdwe ab16 nvcc_dram ddr alt0 dram_sdwe_b output 0 dram_vref ac2 dram_vref analog lpddr2 ? dram_vref ? ? table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 153 dsi_clk0m h3 nvcc_mipi ? ? dsi_clk_n ? ? dsi_clk0p h4 nvcc_mipi ? ? dsi_clk_p ? ? dsi_d0m g2 nvcc_mipi ? ? dsi_data0_n ? ? dsi_d0p g1 nvcc_mipi ? ? dsi_data0_p ? ? dsi_d1m h2 nvcc_mipi ? ? dsi_data1_n ? ? dsi_d1p h1 nvcc_mipi ? ? dsi_data1_p ? ? eim_a16 h25 nvcc_eim1 gpio alt0 eim_addr16 output 0 eim_a17 g24 nvcc_eim1 gpio alt0 eim_addr17 output 0 eim_a18 j22 nvcc_eim1 gpio alt0 eim_addr18 output 0 eim_a19 g25 nvcc_eim1 gpio alt0 eim_addr19 output 0 eim_a20 h22 nvcc_eim1 gpio alt0 eim_addr20 output 0 eim_a21 h23 nvcc_eim1 gpio alt0 eim_addr21 output 0 eim_a22 f24 nvcc_eim1 gpio alt0 eim_addr22 output 0 eim_a23 j21 nvcc_eim1 gpio alt0 eim_addr23 output 0 eim_a24 f25 nvcc_eim1 gpio alt0 eim_addr24 output 0 eim_a25 h19 nvcc_eim0 gpio alt0 eim_addr25 output 0 eim_bclk n22 nvcc_eim2 gpio alt0 eim_bclk output 0 eim_cs0 h24 nvcc_eim1 gpio alt0 eim_cs0_b output 1 eim_cs1 j23 nvcc_eim1 gpio alt0 eim_cs1_b output 1 eim_d16 c25 nvcc_eim0 gpio alt5 eim_data16 input pu (100k) eim_d17 f21 nvcc_eim0 gpio alt5 eim_data17 input pu (100k) eim_d18 d24 nvcc_eim0 gpio alt5 eim_data18 input pu (100k) eim_d19 g21 nvcc_eim0 gpio alt5 eim_data19 input pu (100k) eim_d20 g20 nvcc_eim0 gpio alt5 eim_data20 input pu (100k) eim_d21 h20 nvcc_eim0 gpio alt5 eim_data21 input pu (100k) eim_d22 e23 nvcc_eim0 gpio alt5 eim_data22 input pd (100k) eim_d23 d25 nvcc_eim0 gpio alt5 eim_data23 input pu (100k) eim_d24 f22 nvcc_eim0 gpio alt5 eim_data24 input pu (100k) eim_d25 g22 nvcc_eim0 gpio alt5 eim_data25 input pu (100k) eim_d26 e24 nvcc_eim0 gpio alt5 eim_data26 input pu (100k) eim_d27 e25 nvcc_eim0 gpio alt5 eim_data27 input pu (100k) eim_d28 g23 nvcc_eim0 gpio alt5 eim_data28 input pu (100k) eim_d29 j19 nvcc_eim0 gpio alt5 eim_data29 input pu (100k) eim_d30 j20 nvcc_eim0 gpio alt5 eim_data30 input pu (100k) eim_d31 h21 nvcc_eim0 gpio alt5 eim_data31 input pd (100k) eim_da0 l20 nvcc_eim2 gpio alt0 eim_ad00 input pu (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 154 freescale semiconductor package information and contact assignments eim_da1 j25 nvcc_eim2 gpio alt0 eim_ad01 input pu (100k) eim_da10 l21 nvcc_eim2 gpio alt0 eim_ad10 input pu (100k) eim_da11 k24 nvcc_eim2 gpio alt0 eim_ad11 input pu (100k) eim_da12 l22 nvcc_eim2 gpio alt0 eim_ad12 input pu (100k) eim_da13 l23 nvcc_eim2 gpio alt0 eim_ad13 input pu (100k) eim_da14 k25 nvcc_eim2 gpio alt0 eim_ad14 input pu (100k) eim_da15 l25 nvcc_eim2 gpio alt0 eim_ad15 input pu (100k) eim_da2 l24 nvcc_eim2 gpio alt0 eim_ad02 input pu (100k) eim_da3 m21 nvcc_eim2 gpio alt0 eim_ad03 input pu (100k) eim_da4 m22 nvcc_eim2 gpio alt0 eim_ad04 input pu (100k) eim_da5 m20 nvcc_eim2 gpio alt0 eim_ad05 input pu (100k) eim_da6 m24 nvcc_eim2 gpio alt0 eim_ad06 input pu (100k) eim_da7 m23 nvcc_eim2 gpio alt0 eim_ad07 input pu (100k) eim_da8 n23 nvcc_eim2 gpio alt0 eim_ad08 input pu (100k) eim_da9 n24 nvcc_eim2 gpio alt0 eim_ad09 input pu (100k) eim_eb0 k21 nvcc_eim2 gpio alt0 eim_eb0_b output 1 eim_eb1 k23 nvcc_eim2 gpio alt0 eim_eb1_b output 1 eim_eb2 e22 nvcc_eim0 gpio alt5 eim_eb2_b input pu (100k) eim_eb3 f23 nvcc_eim0 gpio alt5 eim_eb3_b input pu (100k) eim_lba k22 nvcc_eim1 gpio alt0 eim_lba_b output 1 eim_oe j24 nvcc_eim1 gpio alt0 eim_oe output 1 eim_rw k20 nvcc_eim1 gpio alt0 eim_rw output 1 eim_wait m25 nvcc_eim2 gpio alt0 eim_wait input pu (100k) enet_crs_dv u21 nvcc_enet gpio alt5 enet_rx_en input pu (100k) enet_mdc v20 nvcc_enet gpio alt5 enet_mdc input pu (100k) enet_mdio v23 nvcc_enet gpio alt5 enet_mdio input pu (100k) enet_ref_clk 3 v22 nvcc_enet gpio alt5 enet_tx_clk input pu (100k) enet_rx_er w23 nvcc_enet gpio alt5 enet_rx_er input pu (100k) enet_rxd0 w21 nvcc_enet gpio alt5 enet_rx_data0 input pu (100k) enet_rxd1 w22 nvcc_enet gpio alt5 enet_rx_data1 input pu (100k) enet_tx_en v21 nvcc_enet gpio al t5 enet_tx_en input pu (100k) enet_txd0 u20 nvcc_enet gpio alt5 enet_tx_data0 input pu (100k) enet_txd1 w20 nvcc_enet gpio alt5 enet_tx_data1 input pu (100k) gpio_0 t5 nvcc_gpio gpio alt5 gpio1_io00 input pd (100k) gpio_1 t4 nvcc_gpio gpio alt5 gpio1_io01 input pu (100k) gpio_16 r2 nvcc_gpio gpio alt5 gpio7_io11 input pu (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 155 gpio_17 r1 nvcc_gpio gpio alt5 gpio7_io12 input pu (100k) gpio_18 p6 nvcc_gpio gpio alt5 gpio7_io13 input pu (100k) gpio_19 p5 nvcc_gpio gpio alt5 gpio4_io05 input pu (100k) gpio_2 t1 nvcc_gpio gpio alt5 gpio1_io02 input pu (100k) gpio_3 r7 nvcc_gpio gpio alt5 gpio1_io03 input pu (100k) gpio_4 r6 nvcc_gpio gpio alt5 gpio1_io04 input pu (100k) gpio_5 r4 nvcc_gpio gpio alt5 gpio1_io05 input pu (100k) gpio_6 t3 nvcc_gpio gpio alt5 gpio1_io06 input pu (100k) gpio_7 r3 nvcc_gpio gpio alt5 gpio1_io07 input pu (100k) gpio_8 r5 nvcc_gpio gpio alt5 gpio1_io08 input pu (100k) gpio_9 t2 nvcc_gpio gpio alt5 gpio1_io09 input pu (100k) hdmi_clkm j5 hdmi_vph ? ? hdmi_tx_clk_n ? ? hdmi_clkp j6 hdmi_vph ? ? hdmi_tx_clk_p ? ? hdmi_d0m k5 hdmi_vph ? ? hdmi_tx_data0_n ? ? hdmi_d0p k6 hdmi_vph ? ? hdmi_tx_data0_p ? ? hdmi_d1m j3 hdmi_vph ? ? hdmi_tx_data1_n ? ? hdmi_d1p j4 hdmi_vph ? ? hdmi_tx_data1_p ? ? hdmi_d2m k3 hdmi_vph ? ? hdmi_tx_data2_n ? ? hdmi_d2p k4 hdmi_vph ? ? hdmi_tx_data2_p ? ? hdmi_ddccec k2 hdmi_vph ? ? hdmi_tx_ddc_cec ? ? hdmi_hpd k1 hdmi_vph ? ? hdmi_tx_hpd ? ? hdmi_ref j1 hdmi_ref ? ? hdmi_ref ? ? jtag_mod h6 nvcc_jtag gpio alt0 jtag_mode input pu (100k) jtag_tck h5 nvcc_jtag gpio alt0 jtag_tck input pu (47k) jtag_tdi g5 nvcc_jtag gpio alt0 jtag_tdi input pu (47k) jtag_tdo g6 nvcc_jtag gpio alt0 jtag_tdo output keeper jtag_tms c3 nvcc_jtag gpio alt0 jtag_tms input pu (47k) jtag_trstb c2 nvcc_jtag gpio alt0 jtag_trst_b input pu (47k) key_col0 w5 nvcc_gpio gpio alt5 key_col0 input pu (100k) key_col1 u7 nvcc_gpio gpio alt5 key_col1 input pu (100k) key_col2 w6 nvcc_gpio gpio alt5 key_col2 input pu (100k) key_col3 u5 nvcc_gpio gpio alt5 key_col3 input pu (100k) key_col4 t6 nvcc_gpio gpio alt5 key_col4 input pu (100k) key_row0 v6 nvcc_gpio gpio alt5 key_row0 input pu (100k) key_row1 u6 nvcc_gpio gpio alt5 key_row1 input pu (100k) key_row2 w4 nvcc_gpio gpio alt5 key_row2 input pu (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 156 freescale semiconductor package information and contact assignments key_row3 t7 nvcc_gpio gpio alt5 key_row3 input pu (100k) key_row4 v5 nvcc_gpio gpio alt5 key_row4 input pd (100k) lvds0_clk_n v4 nvcc_lvds_2p5 lvds ? lvds0_clk_n ? ? lvds0_clk_p v3 nvcc_lvds_2p5 lvds alt0 lvds0_clk_p input keeper lvds0_tx0_n u2 nvcc_lvds_2p5 lvds ? lvds0_tx0_n ? ? lvds0_tx0_p u1 nvcc_lvds_2p5 lvds alt0 lvds0_tx0_p input keeper lvds0_tx1_n u4 nvcc_lvds_2p5 lvds ? lvds0_tx1_n ? ? lvds0_tx1_p u3 nvcc_lvds_2p5 lvds alt0 lvds0_tx1_p input keeper lvds0_tx2_n v2 nvcc_lvds_2p5 lvds ? lvds0_tx2_n ? ? lvds0_tx2_p v1 nvcc_lvds_2p5 lvds alt0 lvds0_tx2_p input keeper lvds0_tx3_n w2 nvcc_lvds_2p5 lvds ? lvds0_tx3_n ? ? lvds0_tx3_p w1 nvcc_lvds_2p5 lvds alt0 lvds0_tx3_p input keeper lvds1_clk_n y3 nvcc_lvds_2p5 lvds ? lvds1_clk_n ? ? lvds1_clk_p y4 nvcc_lvds_2p5 lvds alt0 lvds1_clk_p input keeper lvds1_tx0_n y1 nvcc_lvds_2p5 lvds ? lvds1_tx0_n ? ? lvds1_tx0_p y2 nvcc_lvds_2p5 lvds alt0 lvds1_tx0_p input keeper lvds1_tx1_n aa2 nvcc_lvds_2p5 lvds ? lvds1_tx1_n ? ? lvds1_tx1_p aa1 nvcc_lvds_2p5 lvds alt0 lvds1_tx1_p input keeper lvds1_tx2_n ab1 nvcc_lvds_2p5 lvds ? lvds1_tx2_n ? ? lvds1_tx2_p ab2 nvcc_lvds_2p5 lvds alt0 lvds1_tx2_p input keeper lvds1_tx3_n aa3 nvcc_lvds_2p5 lvds ? lvds1_tx3_n ? ? lvds1_tx3_p aa4 nvcc_lvds_2p5 lvds alt0 lvds1_tx3_p input keeper mlb_cn a11 vdd_high_cap lvds ? mlb_clk_n ? ? mlb_cp b11 vdd_high_cap lvds ? mlb_clk_p ? ? mlb_dn b10 vdd_high_cap lvds ? mlb_data_n ? ? mlb_dp a10 vdd_high_cap lvds ? mlb_data_p ? ? mlb_sn a9 vdd_high_cap lvds ? mlb_sig_n ? ? mlb_sp b9 vdd_high_cap lvds ? mlb_sig_p ? ? nandf_ale a16 nvcc_nandf gpio alt5 nand_ale input pu (100k) nandf_cle c15 nvcc_nandf gpio alt5 nand_cle input pu (100k) nandf_cs0 f15 nvcc_nandf gpio alt5 nand_ce0_b input pu (100k) nandf_cs1 c16 nvcc_nandf gpio alt5 nand_ce1_b input pu (100k) nandf_cs2 a17 nvcc_nandf gpio alt5 nand_ce2_b input pu (100k) nandf_cs3 d16 nvcc_nandf gpio alt5 nand_ce3_b input pu (100k) nandf_d0 a18 nvcc_nandf gpio alt5 nand_data00 input pu (100k) nandf_d1 c17 nvcc_nandf gpio alt5 nand_data01 input pu (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 157 nandf_d2 f16 nvcc_nandf gpio alt5 nand_data02 input pu (100k) nandf_d3 d17 nvcc_nandf gpio alt5 nand_data03 input pu (100k) nandf_d4 a19 nvcc_nandf gpio alt5 nand_data04 input pu (100k) nandf_d5 b18 nvcc_nandf gpio alt5 nand_data05 input pu (100k) nandf_d6 e17 nvcc_nandf gpio alt5 nand_data06 input pu (100k) nandf_d7 c18 nvcc_nandf gpio alt5 nand_data07 input pu (100k) nandf_rb0 b16 nvcc_nandf gpio alt5 nand_ready input pu (100k) onoff d12 vdd_snvs_in gpio ? src_onoff input pu (100k) pcie_rxm b1 pcie_vph ? ? pcie_rx_n ? ? pcie_rxp b2 pcie_vph ? ? pcie_rx_p ? ? pcie_txm a3 pcie_vph ? ? pcie_tx_n ? ? pcie_txp b3 pcie_vph ? ? pcie_tx_p ? ? pmic_on_req d11 vdd_snvs_in gpio alt0 snvs_pmic_on_req output open drain with pu (100k) pmic_stby_req f11 vdd_snvs_in gpi o alt0 ccm_pmic_stby_req output 0 por_b c11 vdd_snvs_in gpio alt0 src_por_b input pu (100k) rgmii_rd0 c24 nvcc_rgmii ddr alt5 rgmii_rd0 input pu (100k) rgmii_rd1 b23 nvcc_rgmii ddr alt5 rgmii_rd1 input pu (100k) rgmii_rd2 b24 nvcc_rgmii ddr alt5 rgmii_rd2 input pu (100k) rgmii_rd3 d23 nvcc_rgmii ddr alt5 rgmii_rd3 input pu (100k) rgmii_rx_ctl d22 nvcc_rgmii ddr alt5 rgmii_rx_ctl input pd (100k) rgmii_rxc b25 nvcc_rgmii ddr alt5 rgmii_rxc input pd (100k) rgmii_td0 c22 nvcc_rgmii ddr alt5 rgmii_td0 input pu (100k) rgmii_td1 f20 nvcc_rgmii ddr alt5 rgmii_td1 input pu (100k) rgmii_td2 e21 nvcc_rgmii ddr alt5 rgmii_td2 input pu (100k) rgmii_td3 a24 nvcc_rgmii ddr alt5 rgmii_td3 input pu (100k) rgmii_tx_ctl c23 nvcc_rgmii ddr alt5 rgmii_tx_ctl input pd (100k) rgmii_txc d21 nvcc_rgmii ddr alt5 rgmii_txc input pd (100k) rtc_xtali d9 vdd_snvs_cap ? ? rtc_xtali ? ? rtc_xtalo c9 vdd_snvs_cap ? ? rtc_xtalo ? ? sata_rxm a14 sata_vph ? ? sata_phy_rx_n ? ? sata_rxp b14 sata_vph ? ? sata_phy_rx_p ? ? sata_txm b12 sata_vph ? ? sata_phy_tx_n ? ? sata_txp a12 sata_vph ? ? sata_phy_tx_p ? ? sd1_clk d20 nvcc_sd1 gpio alt5 sd1_clk input pu (100k) sd1_cmd b21 nvcc_sd1 gpio alt 5 sd1_cmd input pu (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 158 freescale semiconductor package information and contact assignments sd1_dat0 a21 nvcc_sd1 gpio alt5 sd1_data0 input pu (100k) sd1_dat1 c20 nvcc_sd1 gpio alt5 sd1_data1 input pu (100k) sd1_dat2 e19 nvcc_sd1 gpio alt5 sd1_data2 input pu (100k) sd1_dat3 f18 nvcc_sd1 gpio alt5 sd1_data3 input pu (100k) sd2_clk c21 nvcc_sd2 gpio alt5 sd2_clk input pu (100k) sd2_cmd f19 nvcc_sd2 gpio alt5 sd2_cmd input pu (100k) sd2_dat0 a22 nvcc_sd2 gpio alt5 sd2_data0 input pu (100k) sd2_dat1 e20 nvcc_sd2 gpio alt5 sd2_data1 input pu (100k) sd2_dat2 a23 nvcc_sd2 gpio alt5 sd2_data2 input pu (100k) sd2_dat3 b22 nvcc_sd2 gpio alt5 sd2_data3 input pu (100k) sd3_clk d14 nvcc_sd3 gpio alt5 sd3_clk input pu (100k) sd3_cmd b13 nvcc_sd3 gpio alt 5 sd3_cmd input pu (100k) sd3_dat0 e14 nvcc_sd3 gpio alt5 sd3_data0 input pu (100k) sd3_dat1 f14 nvcc_sd3 gpio alt5 sd3_data1 input pu (100k) sd3_dat2 a15 nvcc_sd3 gpio alt5 sd3_data2 input pu (100k) sd3_dat3 b15 nvcc_sd3 gpio alt5 sd3_data3 input pu (100k) sd3_dat4 d13 nvcc_sd3 gpio alt5 sd3_data4 input pu (100k) sd3_dat5 c13 nvcc_sd3 gpio alt5 sd3_data5 input pu (100k) sd3_dat6 e13 nvcc_sd3 gpio alt5 sd3_data6 input pu (100k) sd3_dat7 f13 nvcc_sd3 gpio alt5 sd3_data7 input pu (100k) sd3_rst d15 nvcc_sd3 gpio alt 5 sd3_reset input pu (100k) sd4_clk e16 nvcc_nandf gpio alt5 sd4_clk input pu (100k) sd4_cmd b17 nvcc_nandf gpio alt5 sd4_cmd input pu (100k) sd4_dat0 d18 nvcc_nandf gpio alt5 sd4_data0 input pu (100k) sd4_dat1 b19 nvcc_nandf gpio alt5 sd4_data1 input pu (100k) sd4_dat2 f17 nvcc_nandf gpio alt5 sd4_data2 input pu (100k) sd4_dat3 a20 nvcc_nandf gpio alt5 sd4_data3 input pu (100k) sd4_dat4 e18 nvcc_nandf gpio alt5 sd4_data4 input pu (100k) sd4_dat5 c19 nvcc_nandf gpio alt5 sd4_data5 input pu (100k) sd4_dat6 b20 nvcc_nandf gpio alt5 sd4_data6 input pu (100k) sd4_dat7 d19 nvcc_nandf gpio alt5 sd4_data7 input pu (100k) tamper e11 vdd_snvs_in gpio alt 0 snvs_tamper input pd (100k) test_mode e12 vdd_snvs_in ? ? tcu_test_mode input pd (100k) usb_h1_dn f10 vdd_usb_cap ? ? usb_h1_dn ? ? usb_h1_dp e10 vdd_usb_cap ? ? usb_h1_dp ? ? usb_otg_chd_b b8 vdd_usb_cap ? ? usb_otg_chd_b ? ? table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 159 for most of the signals, the state during reset is same as the state after reset, given in out of reset condition column of table 101 . however, there are few signals for wh ich the state during reset is different from the state after reset. these signals al ong with their state durin g reset are given in table 102 . usb_otg_dn b6 vdd_usb_cap ? ? usb_otg_dn ? ? usb_otg_dp a6 vdd_usb_cap ? ? usb_otg_dp ? ? xtali a7 nvcc_pll ? ? xtali ? ? xtalo b7 nvcc_pll ? ? xtalo ? ? 1 the state immediately after reset and before rom firmware or software has executed. 2 variance of the pull-up and pull-down strengt hs are shown in the tables as follows: ? table 23, "gpio i/o dc parameters," on page 37 . ? table 24, "lpddr2 i/o dc electrical parameters," on page 38 ? table 25, "ddr3/ddr3l i/o dc electrical parameters," on page 39 3 enet_ref_clk is used as a clock source for mii and rgmii m odes only. rmii mode uses either gpio_16 or rgmii_tx_ctl as a clock source. for more information on these clocks, see the device reference manual and the hardware development guide for i.mx 6quad, 6dual, 6duallite, 6solo fam ilies of applications processors (imx6dq6sdlhdg). table 102. signals with differing before reset and after reset states ball name before reset state input/output value eim_a16 input pd (100k) eim_a17 input pd (100k) eim_a18 input pd (100k) eim_a19 input pd (100k) eim_a20 input pd (100k) eim_a21 input pd (100k) eim_a22 input pd (100k) eim_a23 input pd (100k) eim_a24 input pd (100k) eim_a25 input pd (100k) eim_da0 input pd (100k) eim_da1 input pd (100k) eim_da2 input pd (100k) eim_da3 input pd (100k) table 101. 21 x 21 mm functional contact assignments (continued) ball name ball power group ball type out of reset condition 1 default mode (reset mode) default function (signal name) input/output value 2
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 160 freescale semiconductor package information and contact assignments eim_da4 input pd (100k) eim_da5 input pd (100k) eim_da6 input pd (100k) eim_da7 input pd (100k) eim_da8 input pd (100k) eim_da9 input pd (100k) eim_da10 input pd (100k) eim_da11 input pd (100k) eim_da12 input pd (100k) eim_da13 input pd (100k) eim_da14 input pd (100k) eim_da15 input pd (100k) eim_eb0 input pd (100k) eim_eb1 input pd (100k) eim_eb2 input pd (100k) eim_eb3 input pd (100k) eim_lba input pd (100k) eim_rw input pd (100k) eim_wait input pd (100k) gpio_17 output drive state unknown (x) gpio_19 output drive state unknown (x) key_col0 output drive state unknown (x) table 102. signals with differing before reset and after reset states (continued) ball name before reset state input/output value
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 161 6.2.3 21 x 21 mm, 0.8 mm pitch ball map table 103 shows the fcpbga 21 x 21 mm , 0.8 mm pitch ball map. table 103. 21 x 21 mm, 0.8 mm pitch ball map 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 a pcie_rext pcie_txm gnd fa_ana usb_otg_dp xtali gnd mlb_sn mlb_dp mlb_cn sata_txp gnd sata_rxm sd3_dat2 nandf_ale nandf_cs2 nandf_d0 nandf_d4 sd4_dat3 sd1_dat0 sd2_dat0 sd2_dat2 rgmii_td3 gnd b pcie_rxm pcie_rxp pcie_txp gnd vdd_fa usb_otg_dn xtalo usb_otg_chd_b mlb_sp mlb_dn mlb_cp sata_txm sd3_cmd sata_rxp sd3_dat3 nandf_rb0 sd4_cmd nandf_d5 sd4_dat1 sd4_dat6 sd1_cmd sd2_dat3 rgmii_rd1 rgmii_rd2 rgmii_rxc c gnd jtag_trstb jtag_tms gnd clk2_n gnd clk1_n gpanaio rtc_xtalo gnd por_b boot_mode0 sd3_dat5 sata_rext nandf_cle nandf_cs1 nandf_d1 nandf_d7 sd4_dat5 sd1_dat1 sd2_clk rgmii_td0 rgmii_tx_ctl rgmii_rd0 eim_d16 d csi_d1m csi_d1p gnd csi_rext clk2_p gnd clk1_p gnd rtc_xtali usb_h1_vbus pmic_on_req onoff sd3_dat4 sd3_clk sd3_rst nandf_cs3 nandf_d3 sd4_dat0 sd4_dat7 sd1_clk rgmii_txc rgmii_rx_ctl rgmii_rd3 eim_d18 eim_d23 e csi_d2m csi_d2p csi_d0p csi_d0m gnd gnd gnd nvcc_pll_out usb_otg_vbus usb_h1_dp tamper test_mode sd3_dat6 sd3_dat0 nandf_wp_b sd4_clk nandf_d6 sd4_dat4 sd1_dat2 sd2_dat1 rgmii_td2 eim_eb2 eim_d22 eim_d26 eim_d27 f csi_d3p csi_d3m csi_clk0p csi_clk0m gnd gnd gnd gnd vddusb_cap usb_h1_dn pmic_stby_req boot_mode1 sd3_dat7 sd3_dat1 nandf_cs0 nandf_d2 sd4_dat2 sd1_dat3 sd2_cmd rgmii_td1 eim_d17 eim_d24 eim_eb3 eim_a22 eim_a24 g dsi_d0p dsi_d0m gnd dsi_rext jtag_tdi jtag_tdo pcie_vph pcie_vptx vdd_snvs_cap gnd vdd_snvs_in sata_vph sata_vp nvcc_sd3 nvcc_nandf nvcc_sd1 nvcc_sd2 nvcc_rgmii gnd eim_d20 eim_d19 eim_d25 eim_d28 eim_a17 eim_a19
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 162 freescale semiconductor package information and contact assignments h dsi_d1p dsi_d1m dsi_clk0m dsi_clk0p jtag_tck jtag_mod pcie_vp gnd vddhigh_in vddhigh_cap vddarm23_cap gnd vddarm_cap vddarm_in gnd vddsoc_in vddpu_cap gnd eim_a25 eim_d21 eim_d31 eim_a20 eim_a21 eim_cs0 eim_a16 j hdmi_ref gnd hdmi_d1m hdmi_d1p hdmi_clkm hdmi_clkp nvcc_jtag gnd vddhigh_in vddhigh_cap vddarm23_cap gnd vddarm_cap vddarm_in gnd vddsoc_in vddpu_cap gnd eim_d29 eim_d30 eim_a23 eim_a18 eim_cs1 eim_oe eim_da1 k hdmi_hpd hdmi_ddccec hdmi_d2m hdmi_d2p hdmi_d0m hdmi_d0p nvcc_mipi gnd vddarm23_in gnd vddarm23_cap gnd vddarm_cap vddarm_in gnd vddsoc_in vddpu_cap gnd nvcc_eim0 eim_rw eim_eb0 eim_lba eim_eb1 eim_da3 eim_da6 l csi0_dat13 gnd csi0_dat17 csi0_dat16 gnd csi0_dat19 hdmi_vp gnd vddarm23_in gnd vddarm23_cap gnd vddarm_cap vddarm_in gnd vddsoc_in vddpu_cap gnd nvcc_eim1 eim_da0 eim_da2 eim_da4 eim_da5 eim_da8 eim_da7 m csi0_dat10 csi0_dat12 csi0_dat11 csi0_dat14 csi0_dat15 csi0_dat18 hdmi_vph gnd vddarm23_in gnd vddarm23_cap gnd vddarm_cap vddarm_in gnd vddsoc_in vddpu_cap gnd nvcc_eim2 eim_da11 eim_da9 eim_da10 eim_da13 eim_da12 eim_wait n csi0_dat4 csi0_vsync csi0_dat7 csi0_dat6 csi0_dat9 csi0_dat8 nvcc_csi gnd vddarm23_in gnd vddarm23_cap vdd_cache_cap vddarm_cap vddarm_in gnd vddsoc_in vddpu_cap gnd di0_disp_clk di0_pin3 di0_pin15 eim_bclk eim_da14 eim_da15 di0_pin2 p csi0_pixclk csi0_dat5 csi0_data_en csi0_mclk gpio_19 gpio_18 nvcc_gpio gnd vddarm23_in gnd vddarm23_cap gnd vddarm_cap vddarm_in gnd vddsoc_in vddpu_cap gnd nvcc_lcd disp0_dat4 disp0_dat3 disp0_dat1 disp0_dat2 disp0_dat0 di0_pin4 table 103. 21 x 21 mm, 0.8 mm pitch ball map (continued) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
package information and contact assignments i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 163 r gpio_17 gpio_16 gpio_7 gpio_5 gpio_8 gpio_4 gpio_3 gnd vddarm23_in vddsoc_cap vddarm23_cap gnd vddarm_cap vddarm_in gnd vddsoc_in gnd nvcc_dram nvcc_enet disp0_dat13 disp0_dat10 disp0_dat8 disp0_dat6 disp0_dat7 disp0_dat5 t gpio_2 gpio_9 gpio_6 gpio_1 gpio_0 key_col4 key_row3 gnd vddarm23_in vddsoc_cap gnd gnd vddsoc_cap vddsoc_cap gnd vddsoc_in gnd nvcc_dram gnd disp0_dat21 disp0_dat16 disp0_dat15 disp0_dat11 disp0_dat12 disp0_dat9 u lvds0_tx0_p lvds0_tx0_n lvds0_tx1_p lvds0_tx1_n key_col3 key_row1 key_col1 gnd vddarm23_in vddsoc_cap gnd gnd vddsoc_cap vddsoc_cap gnd vddsoc_in gnd nvcc_dram gnd enet_txd0 enet_crs_dv disp0_dat20 disp0_dat19 disp0_dat17 disp0_dat14 v lvds0_tx2_p lvds0_tx2_n lvds0_clk_p lvds0_clk_n key_row4 key_row0 nvcc_lvds2p5 gnd nvcc_dram nvcc_dram nvcc_dram nvcc_dram nvcc_dram nvcc_dram nvcc_dram nvcc_dram nvcc_dram nvcc_dram gnd enet_mdc enet_tx_en enet_ref_clk enet_mdio disp0_dat22 disp0_dat18 w lvds0_tx3_p lvds0_tx3_n gnd key_row2 key_col0 key_col2 gnd gnd gnd gnd gnd gnd gnd dram_a4 gnd gnd gnd gnd gnd enet_txd1 enet_rxd0 enet_rxd1 enet_rx_er disp0_dat23 dram_d63 y lvds1_tx0_n lvds1_tx0_p lvds1_clk_n lvds1_clk_p gnd dram_reset dram_d20 dram_d21 dram_d19 dram_d25 dram_sdcke0 dram_a15 dram_a7 dram_a3 dram_sdba1 dram_cs0 dram_d36 dram_d37 dram_d40 dram_d44 dram_dqm7 dram_d59 dram_d62 gnd dram_d58 aa lvds1_tx1_p lvds1_tx1_n lvds1_tx3_n lvds1_tx3_p dram_d3 dram_d10 gnd dram_d17 dram_d23 gnd dram_sdcke1 dram_a14 gnd dram_a2 dram_a10 gnd dram_d32 dram_d33 gnd dram_d45 dram_d57 gnd dram_d61 dram_sdqs7_b dram_sdqs7 ab lvds1_tx2_n lvds1_tx2_p gnd dram_d6 dram_d12 dram_d14 dram_d16 dram_dqm2 dram_d18 dram_sdqs3_b dram_d27 dram_sdba2 dram_a8 dram_a1 dram_ras dram_sdwe dram_sdodt1 dram_dqm4 dram_d38 dram_d41 dram_d42 dram_d52 dram_d60 gnd dram_d56 table 103. 21 x 21 mm, 0.8 mm pitch ball map (continued) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 164 freescale semiconductor package information and contact assignments ac dram_d4 dram_vref dram_dqm0 dram_d2 dram_d13 dram_dqm1 dram_d15 dram_d22 dram_d28 dram_sdqs3 dram_d31 dram_a11 dram_a6 dram_a0 dram_sdba0 dram_sdodt0 dram_a13 dram_d34 dram_d39 dram_dqm5 dram_d47 dram_d48 dram_d53 dram_d51 dram_d55 ad dram_d5 dram_d0 dram_sdqs0_b gnd dram_d8 dram_sdqs1 gnd dram_sdqs2 dram_d29 gnd dram_d30 dram_a12 gnd dram_sdclk_1 dram_sdclk_0 gnd dram_cs1 dram_sdqs4 gnd dram_sdqs5 dram_d43 gnd dram_sdqs6 dram_dqm6 dram_d54 ae gnd dram_d1 dram_sdqs0 dram_d7 dram_d9 dram_sdqs1_b dram_d11 dram_sdqs2_b dram_d24 dram_dqm3 dram_d26 dram_a9 dram_a5 dram_sdclk_1_b dram_sdclk_0_b dram_cas zqpad dram_sdqs4_b dram_d35 dram_sdqs5_b dram_d46 dram_d49 dram_sdqs6_b dram_d50 gnd table 103. 21 x 21 mm, 0.8 mm pitch ball map (continued) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
revision history i.mx 6dual/6quad automotive and infotainment applications processors, rev. 2 freescale semiconductor 165 7 revision history table 104 provides a revision history for this data sheet. table 104. i.mx 6dual/6quad data sheet document revision history rev. number date substantive change(s) rev. 2 04/2013 substantive changes thr oughout this document are as follows: ? incorporated standardized signal names. this change is extensive throughout. added reference to eb792, i.mx signal name mapping. ? figures updated to align to standardized signal names. ? aligned references to fcbga to read fc p bga throughout document. ? updated references to emmc standard to include 4.41 ? ta bl e 1 ?example industrial grade orderable part numbers?: part numbers mcimx6q4avt10ac, mcimx6q4avt08ac, MCIMX6D4AVT10AC, and mcimx6d4avt08ac were updated to show gpu instead of vpu as an option. ? ta bl e 2 changed reference to global power controller to read general power controller. ? ta bl e 4 added vdd_arm23_in to core supply voltages. ? ta bl e 6 ?operating ranges ": run mode - ldo enabled, vdd_arm_in/vdd_arm23_in, 792 mhz, input voltage minimum changed to 1.275v and vdd_arm cap minimum changed to 1.150v. ? ta bl e 6 ?operating ranges ": added reference for information on product lifetime : i.mx 6dual/6quad product usage lifetime estimates application note , an4724. ? ta bl e 9 . maximum supply currents: ad ded current for i.mx6dual ? ta bl e 1 0 stop mode current and power consumption: added snvs only mode ? ta bl e 2 3 gpio i/o dc parameters: removed parameters iskod and isspp. ? ta bl e 5 2 updated parameter cs6 ecspix_ssx lag time (cs hold time) min from half sclk period to half sclk period-2. ? ta bl e 5 5 emmc parameter sd8 value min updated from 5.6 ns to 1.5 ns. ? ta bl e 6 3 rgmii parameter tskewr units corrected. ? table 101 updated gpio_1 ball name value to pu (100k). ? table 101 clarification of enet_ref_clk naming. ? removed section, eim signal cross reference. signal names are now aligned with reference manual. ? section 1.2, ?features added bulleted item regardin g the soc-level memory system. ? section 4.2.1, ?power-up sequence ? updated wording. ? section 4.3.2, ?regulators for analog modules ? section updates. ? added section 4.6.1, ?xtali and rtc_xtali (clock inputs) dc parameters ?. ? section 4.10, ?general-purpose media interface (gpmi) timing ? figures replaced, tables revised.
document number: imx6dqaxec rev. 2 04/2013 how to reach us: home page: freescale.com web support: freescale.com/support information in this document is provid ed solely to enable system and software implementers to use freescale products. there are no express or implied copyright licenses granted hereunder to design or fabr icate any integrated circuits based on the information in this document. freescale reserves the right to make changes without further notice to any products herein. freescale makes no warranty, representation, or guarantee regarding the suitability of its products for any particular purpose, nor does freescale assume any liability arising out of the application or us e of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. ?typical? parameters that may be provided in freescale data sheets and/or specifications can and do vary in differ ent applications, and actual performance may vary over time. all operating parameters, including ?typicals,? must be validated for each customer application by customer?s technical experts. freescale does not convey any license under its patent rights nor the rights of others. freescale sells products pursuant to standard terms and conditions of sale, which can be found at the following address: freescale.com/salestermsandconditions. freescale and the freescale logo are trademarks of freescale semiconductor, inc., reg. u.s. pat. & tm. off. all other product or service names are the property of their respective owners. arm and cortex are registered trademarks of arm limited. ? 2012-2013 freescale semiconductor, inc. all rights reserved.


▲Up To Search▲   

 
Price & Availability of MCIMX6D4AVT10AC

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X